2ちゃんねる スマホ用 ■掲示板に戻る■ 全部 1- 最新50    
レス数が1000を超えているけど、まだ書けるかも知れないよ。

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20

1 :774ワット発電中さん:2013/12/22(日) 22:16:55.28 ID:wAeS/Dmr.net
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/

■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 19
http://uni.2ch.net/test/read.cgi/denki/1371591260/

2 :774ワット発電中さん:2013/12/22(日) 22:19:18.99 ID:wAeS/Dmr.net
過去ログ参照したいときは、外部キャッシュサイトも便利です。
スレタイで検索しましょう。
・ログ速 ttp://www.logsoku.com/
・2chビューアーD ttp://2ch.viewerd.com/
・unkar ttp://unkar.org/r/denki/
他にもあると思う

以上、テンプレらしきもの。
     _,,,
    _/::o・ァ
  ∈ミ;;∧,ノ∧    ,,,,,   ,,,,,
    ( ´・ω・) ,,,,(o・e・),(。・e・),,     新スレです
     /ヽ○==(。・e・)(。・e・)(o・e・)     仲良くつかってね。
    /  ||_彡,,, ノ彡,,, ノ彡,,, ノ
    し' ̄(_)) ̄ ̄ ̄(_)) ̄(_))  ガラガラ

3 :774ワット発電中さん:2013/12/22(日) 23:45:28.05 ID:VlAvjYx/.net
>>1


4 :774ワット発電中さん:2013/12/23(月) 12:37:36.11 ID:b8NhLXVy.net
AHDLか、なつかしいな。
評価変数が使えたり、階層の帰納定義ができたり、
"else generate"文が使えたり、2次元の入力ができたりで
VHDLが使えなかった時にはよく使いこなしたものだった。
AHDLでスケーラブルなランクフィルタ作ったことがあるけど、
暇があったらVHDLで書き直してみたい。

5 :774ワット発電中さん:2013/12/23(月) 17:15:24.77 ID:GUxyiZef.net
いずれにしろ、回路図という2次元の情報を、
HDLという上から下への1次元に書き表さないといけない。
書いてて、イラッとすることがある。
上から見ていって、「おっと、これは関係ないから飛ばして・・・・」と

6 :774ワット発電中さん:2013/12/23(月) 17:35:09.49 ID:Jq85A5IE.net
VisualHDLって今どうなんだろ
学校とか用と感じたけど、使ってんのかな?

7 :774ワット発電中さん:2013/12/23(月) 17:43:07.04 ID:Jq85A5IE.net
>>6
なんかfreeのがあるんだねw 知らなかった
6はサミットデザインのこと

8 :774ワット発電中さん:2013/12/23(月) 21:45:36.84 ID:t0cpU3WD.net
それはVisual Eliteや

9 :774ワット発電中さん:2013/12/23(月) 22:35:17.32 ID:JiDHPRwq.net
>>5
禿同!
だが、magicに戻るか?って言うと…

10 :774ワット発電中さん:2013/12/24(火) 00:06:13.47 ID:fmRqQKdG.net
今はメンターに買われたんだろ
まだ使ってるところあるのか?

11 :774ワット発電中さん:2013/12/24(火) 01:31:19.47 ID:JxgFmkYS.net
2種類のクロックを切り替えるのってどうやるんだ。セレクタじゃ不味い?
よな。

12 :774ワット発電中さん:2013/12/24(火) 01:57:01.94 ID:ypArbwsA.net
>>11
条件によってはセレクタでも構わないだろ

最小パルス幅を保証したけりゃ適当に回路組め

13 :774ワット発電中さん:2013/12/24(火) 09:43:36.41 ID:q602O7yF.net
>>5
> HDLという上から下への1次元に
そうか? 俺は左から右に信号が流れているイメージだが?

上から下って、ソフト出身?

14 :774ワット発電中さん:2013/12/24(火) 10:07:17.68 ID:VVGwyKjr.net
左から右、上から下に流れるように描け、
新人の頃はそれで十分書けた、
いまや努力目標でしかない。

15 :774ワット発電中さん:2013/12/24(火) 10:27:46.83 ID:l9dAyNjI.net
>>13
if分岐で、左右横並びにしたいのを、
縦に表現しなければならないところでしょ

16 :774ワット発電中さん:2013/12/24(火) 21:53:05.17 ID:ISrr9hLb.net
問題無いな。
右にセレクタが居るのが見えるだろ?
見えないの?

17 :774ワット発電中さん:2013/12/25(水) 01:16:56.49 ID:cPENKcSO.net
>>11
ライブラリにあるクロック用MUXをインスタンス化すれば?

18 :774ワット発電中さん:2013/12/25(水) 18:34:44.11 ID:0pmBdWzm.net
>17
 アルテラ調べてみたが見当たらん。名前はなんてやつだろ?
省電力とか、通信とかクロック切り替える必要があるからかなり用途は
あるよな。
 いままで外部に引き出して外部のMUXで切り替えしてたんだが、、、

19 :774ワット発電中さん:2013/12/25(水) 19:53:07.13 ID:HYAhqKjF.net
コレのことか?
ttp://www.altera.com/literature/ug/ug_altclock.pdf

20 :774ワット発電中さん:2013/12/30(月) 22:18:17.29 ID:IJhB+5pd.net
Stratix 10 FPGA: 想像を超える性能を実現
http://www.altera.co.jp/devices/fpga/stratix-fpgas/stratix10/stx10-index.jsp

ひとつのデバイスに実装できるヘテロジニアス・コンピューティング時代の汎用プラットフォームの確立を目指す

(´・ω・`)ヮォ

21 :774ワット発電中さん:2013/12/31(火) 06:26:16.61 ID:ozLf6wkg.net
初心者なんですが、FPGAって1つの信号に着目して立ち下がり、立ち上がり、両方を検知することはできないんですか

例えばverilogで書くと
always @(posedge CLK or negedge CLK)
みたいなことなんですが。↑だとエラー出ますよね。
FPGAでは構造的に不可能なんでしょうか。

22 :774ワット発電中さん:2013/12/31(火) 07:08:02.94 ID:Av36UHDP.net
always @(posedge CLK or negedge CLK) 
↑は、FPGAに限った事ではなく基本手的にエラーだよ。

単に信号の両方エッヂ検知なら、他に方法は幾らでもある。

23 :774ワット発電中さん:2013/12/31(火) 07:44:59.75 ID:Hf/MWSl6.net
テストベンチなら動くと思うが合成はできないな。それ。

24 :774ワット発電中さん:2013/12/31(火) 08:52:32.33 ID:Av36UHDP.net
always @(*)

これ、デコーダ書く時便利だな
しばらく知らなかったんで、抜けが無いよう一生懸命センシビリティリストに書いてた。

25 :774ワット発電中さん:2013/12/31(火) 09:04:42.39 ID:ozLf6wkg.net
幾らでもあるなら一つ教えてもらえますか

26 :774ワット発電中さん:2013/12/31(火) 09:34:06.72 ID:B+5tp07y.net
興味もないしやったこともないけど、 @(CLK) って書けば文法上はどっちの変化も捕まえられる。
ただし、自分はツールの能力を正確に把握してないので、もしやるならPLLで逓倍したクロックの立ち上がりだけ使って代用する。

27 :774ワット発電中さん:2013/12/31(火) 11:21:15.53 ID:bPyB7U6E.net
クロックの↑と↓の両方で制御させることって、あるの?
信号ならあるけど、クロックでさ。

28 :774ワット発電中さん:2013/12/31(火) 11:55:17.48 ID:UnYwvJqz.net
DDR?

29 :774ワット発電中さん:2013/12/31(火) 12:00:29.35 ID:rk5fmwxf.net
普通にあるけどな。その方が性能出るし。

30 :774ワット発電中さん:2013/12/31(火) 12:08:54.67 ID:akhmrKBp.net
ツールの能力と言うよりはデバイスのセル構造をみて判断せねば。

31 :774ワット発電中さん:2013/12/31(火) 12:23:19.72 ID:4tZG9JSk.net
両エッジ使うのは入力波形のデューティーは簡単に変わるから
危ないってばっちゃが言ってた

32 :774ワット発電中さん:2013/12/31(火) 12:42:52.58 ID:UYmj7QgB.net
>>29
>普通にあるけどな。その方が性能出るし。
FPGAのClockの上げ下げ両方で動作するHDLを書くのか?
外部へのクロックが上げ下げでも、FPGAはその倍の周波数の上げで動いてるのではなくて?

33 :774ワット発電中さん:2013/12/31(火) 14:04:38.25 ID:EqKniGdd.net
>>32
Coolrunner2とかクロック上げ下げで動くFF持ってるPLDが無いって訳ではないので
物による、でFA

34 :774ワット発電中さん:2013/12/31(火) 14:13:09.14 ID:Hf/MWSl6.net
立ち上がりで動作するFF群と立ち上がりで動作するFF群を意図的に書いてタイミング回路生成する、だろ

35 :774ワット発電中さん:2013/12/31(火) 14:36:35.78 ID:fBjTmSMk.net
タイミングケアは置いといて合成できるようにしたいなら

always @(posedge CLK) と always @(negedge CLK)
を2つ作って出力信号をCLKを使ってMUXしてやる
DDRの基本手法だけど、同期設計と同じ合成方法で出来るわけじゃないから注意

36 :774ワット発電中さん:2013/12/31(火) 14:56:39.51 ID:ozLf6wkg.net
実機(cyclone)で試したことあるんですが、always(信号名)は挙動がおかしかった記憶があって使うの避けてます。(あくまで記憶ですが
always @(posedge CLK)とalways @(negedge CLK)が同じverilogファイル内に存在するとエラー出ますね。

37 :774ワット発電中さん:2013/12/31(火) 15:01:33.55 ID:fBjTmSMk.net
>実機(cyclone)で試したことあるんですが、always(信号名)は挙動がおかしかった記憶があって使うの避けてます。(あくまで記憶ですが

認識はただしい。多分同期設計と同じ手順でやったんだろう
同期設計と非同期設計の違いくらいはわかって話してる?
それがわからなかったら両エッジ取り込みなんか絶対に無理だからそこから勉強して

38 :774ワット発電中さん:2013/12/31(火) 16:46:31.09 ID:W+tBxn9J.net
AlteraのQsys使ってる人に質問。
最近VirtualBox上のWindows7にQuartusII12.1sp1を入れて開発・学習してるんですが、
QsysでNiosIIのシステムをGenerateするときに
「Error: Failed to elaborate classic module C:/Users/ユーザ名/AppData/Local/Temp/hogehoge/hoge/yysystem.ptf (0)」
とエラーが出てしまいます。
何回かGnerateすると通るのですが現在何度やってもエラーが消えなくて
根本的な解決をしたいのですが、同様な症状を改善した人がいたら解決法やヒントを教えて下さい。

39 :774ワット発電中さん:2013/12/31(火) 16:55:28.08 ID:4AKOzfTB.net
つまり、
Verilogの文法範囲内でロジックを組んでそれが正しくても、
それをASIC/FPGAにする段階で、「部品が数種類しかないレゴブロックで実現しな!」
って言われるわけ。
そこが分かると、両エッジがダメとか言われる理由が分かるでしょう。

40 :774ワット発電中さん:2013/12/31(火) 22:41:38.52 ID:GaIenDft.net
両エッジはposedgeのFFとnegedgeのFFを組み合わせてMUX使ったら出来なくもないから合成しようと思えばできるけど、倍以上リソース食うしタイミングも難しいから現実的ではないだろうね。
IOセルは高速信号に対応できるように両エッジに対応してるけど、結局片エッジのFF組み合わせてパラレル信号に直して内部ロジックに接続してるしなー。
FPGAの内部ロジックでは片エッジのままパラレル化して倍速の信号を扱うか、倍速のクロックを使うのが無難だろう。

41 :774ワット発電中さん:2013/12/31(火) 23:05:57.34 ID:cnpv/iQU.net
XのアプリケーションノートかなんかでDSPブロックだけ倍速で動かして
リソースを減らすみたいなのを見た覚えがあるが見つからない

42 :774ワット発電中さん:2013/12/31(火) 23:52:57.74 ID:FxJJjRny.net
mux->dsp->demuxするだけ

43 :774ワット発電中さん:2014/01/02(木) 02:51:30.62 ID:7qAxmGYY.net
フラッシュからのNIOS2のロード失敗しまくると思ったら
リセットの配線間違ってた 何という間抜けなミス

44 :774ワット発電中さん:2014/01/02(木) 17:52:59.42 ID:GMV7Gdoo.net
マイコンとかの組み込み系のコードだとcase文やif文よりも
アドレスを直接参照するtable文の方が処理が速いというのが一般的だと思うんですが、
FPGAでは特に関係ないですか?

45 :774ワット発電中さん:2014/01/02(木) 18:15:56.77 ID:x4NNQcLI.net
ハードウェア記述言語はコンパイルされるわけじゃなくて、
回路が合成されるわけだから、どのような回路が合成されるかによる。

その結果の速い遅いは、Fmax(最大動作周波数)×何クロックかかるか、で決まる。
前者はどのような組合せ回路が合成されるかによる。後者は自分がどう設計したか、による。

いずれにしろ、プログラミング言語のようにCPUが順番に処理するものを記述してるわけじゃない、
(ハードウェア記述言語は、プログラミング言語じゃない)ということをまず頭に叩き込んで。

46 :774ワット発電中さん:2014/01/02(木) 19:01:01.80 ID:GMV7Gdoo.net
>どのような回路が合成されるかによる。
一般的にどうなのかを知りたいです。
ツールによって合成結果が全く異なるということなんでしょうか?

case文、if文、table文も大差ないという解釈でいいんですか。

47 :774ワット発電中さん:2014/01/02(木) 19:02:10.96 ID:+1UttI9k.net
最近の合成はわりと賢いから、等価で同モジュール内の組み合わせ回路はどう書いてもだいたい同じになる。

48 :774ワット発電中さん:2014/01/02(木) 19:33:30.89 ID:6R5SQPT2.net
>一般的にどうなのかを知りたいです。
「一般的」なんてありえないよ。
合成ツールによって違うしFPGのアーキテクチャによっても違う。
てゆうかマイコンのソフトだってマイコンの種類によって命令セットも違うから
あるCPUで通用した話が他のCPUでは通用しなかったりするのに。

49 :774ワット発電中さん:2014/01/02(木) 19:36:41.24 ID:T8ob71kd.net
↑の人の通りだな
xx文での差異よりも、むしろコンパイラの合成オプション差異の方が大きいだろ

50 :774ワット発電中さん:2014/01/02(木) 20:25:19.64 ID:GMV7Gdoo.net
ツールによって合成結果が全く異なる
ということですね。わかりました。

51 :774ワット発電中さん:2014/01/02(木) 20:36:21.38 ID:x4NNQcLI.net
かもしれない、から、確定的なことは何も言えない、ということ。

52 :774ワット発電中さん:2014/01/02(木) 21:33:38.68 ID:vv8EeJSU.net
そもそも、「早い」ってのが何の意味で使ってるのか・・・

53 :774ワット発電中さん:2014/01/02(木) 21:52:41.85 ID:+1UttI9k.net
細かい差異が重大なら実験すればいいし、
そうじゃなくてターゲットFPGAがわかってるならコーディングガイドラインに従えばいいし、
ターゲットFPGAすらわかってないなら気にするだけ無駄。

とかちょっと思った。

54 :884:2014/01/02(木) 22:48:49.48 ID:EHN3jvl7.net
それぐらいの差異なら可読性を重視したほうがよいかと。

55 :774ワット発電中さん:2014/01/03(金) 03:13:12.57 ID:M4YABj7m.net
質問です。
何時間待ってもISEが終わらないということはあるのでしょうか?

「だめだこりゃ」と思って、途中でキャンセルするとき、
「もしかすると、あと10分待てば終わるかも知れない。どうしょう」
という事がありそうな気がします。

そもそも、Spartan3なら5時間なら普通、10時間なら異常とか、
一般的な数値があるのでしょうか?

56 :774ワット発電中さん:2014/01/03(金) 03:40:10.35 ID:k4rqJyh0.net
PCの性能に加え対象となる回路の使用率なんかにもよるから、その辺に触れない限り正常・異常の閾値はないんじゃないかな?
Xは詳しくないけど、Aなんかはフィジカルシンセシス有効でエフォートレベルを上げると8時間とか普通にかかることもあった。
この時の対象はStratix4の360だったかな。

57 :774ワット発電中さん:2014/01/03(金) 04:30:55.17 ID:M4YABj7m.net
>8時間とか普通にかかることもあった。
5時間とか7時間のとき、キャンセルしようと思わなかったでしょうか?

58 :774ワット発電中さん:2014/01/03(金) 08:07:04.68 ID:Exzq0wXY.net
規模とセル使用率だな。

使用率が限界近い状況だと、使用セルが数セル増えただけで
それまで数分で終わってたのが 1時間以上とかになる場合がある。

こんな場合は、俺は途中でアボートしてるね。

59 :774ワット発電中さん:2014/01/03(金) 08:18:33.83 ID:u7N5khZ7.net
限界近い状況でも、シード値変えればokさ

60 :774ワット発電中さん:2014/01/03(金) 11:53:01.48 ID:81WS7TX5.net
プロセスのCPU使用率も参考になるよ。何分も0%ならハングってる可能性大。

61 :774ワット発電中さん:2014/01/03(金) 12:39:55.32 ID:k4rqJyh0.net
>57
思わない。
ALU使用率9割超えでエフォートレベルが高ければ「そういうもの」だったから、翌朝確認するつもりで夜始めてた。
機械の処理を短くするために人間の時間を使っていいならロジックロックとかすると幸せだったかもしれない。

62 :774ワット発電中さん:2014/01/03(金) 12:47:00.37 ID:qLOpG0o5.net
>>57
思うよ。普通ならね。
で、もっと上のサイズのFPGAにインプリメントしてみて、さくっと合成し動作確認。
動作OKになってから、本ちゃん用のデマイスでコンパイルすれば、ほぼOK。
確認作業の為に無駄な配置配線に時間を掛ける奴はド素人。

63 :774ワット発電中さん:2014/01/03(金) 12:51:28.45 ID:Lyh+9l3/.net
タイミングシミュレーションまで、それなの?

64 :774ワット発電中さん:2014/01/03(金) 14:15:20.57 ID:hyRB1l82.net
>>44
「シミュレーション速度はどれが速いですか?」という質問ならある程度の答えが出るような気がします。

65 :774ワット発電中さん:2014/01/04(土) 02:50:45.13 ID:NTycbhDn.net
もっと上のサイズのFPGAが買えるようになりたいと思うド素人であった orz

66 :774ワット発電中さん:2014/01/04(土) 07:45:14.85 ID:fu3QCTg/.net
配置配線で時間がかかるようになるのは80%超えたあたりからって感じじゃね?

67 :774ワット発電中さん:2014/01/04(土) 22:18:40.28 ID:2nkSfmEY.net
>>64

そういえば、そっちの観点も重要ですね。

おそらく今時のHDLのコンパイラなら、組み合わせ回路の部分はどんな書き方をしても、
合成される回路はほぼ同じ。

なぜならば、合成するまえに、構文から組み合わせ回路の論理を作った後、
その中間表現を介してから実際の回路へ論理合成するだろうから。


だけど、シミュレータ上では、多少差があるかもしれない。
ただ、VCSとかは、一度、論理を中間表現にコンパイルしてからシミュを実行しているから、
こちらも構文による実行速度の差は出なさそう。

68 :774ワット発電中さん:2014/01/07(火) 12:17:07.70 ID:z0sYm8it.net
Altera Quartus v13.1
もしかして、Cyclone T/U のサポート無し?

69 :774ワット発電中さん:2014/01/07(火) 21:44:28.29 ID:FUbal8x1.net
そうみたい。
一つ前のリビジョンではまだサポートしてたのに…
ttp://www.altera.com/literature/rn/archives/rn_qts_130sp1_dev_support.pdf
ttp://www.altera.com/literature/rn/rn_qts_dev_support.pdf

70 :774ワット発電中さん:2014/01/07(火) 22:05:56.25 ID:eZl7kVm3.net
>>68
Cyclone I/IIの時代U終わったのか…

71 :774ワット発電中さん:2014/01/08(水) 16:14:43.56 ID:AgsnyIu+.net
今新規でI/II使う理由ってあるの?
というかFPGAって良く知らないんだけどAの場合Cyclone I〜Vはどういう風に使い分ける物なの?

IVのDE0-nanoよりIIIのDE0の方がDIYやら同人やらでネタになっている事多いのは何故かなーと
ちょっと思っていただけなんだけどね・・・。DE0の方が最初についているI/O豊富だけどnanoの方が
ロジック数もメモリも多くてさらに安いからちょっと不思議だなーと。

72 :774ワット発電中さん:2014/01/08(水) 18:39:14.29 ID:FAxfYRnO.net
新規でI/IIを選ぶ理由は無いでしょ、単にI/IIの世代の資産を持ってるだけ。

2つ目は純粋にI/Oでしょうな、 nanoはLED&SW程度しかないから
何かやろうと思えば外付け回路の製作が必須になる、
工作自体難しくは無いんだろうけど、これが億劫である事は間違いない。

俺の場合も、工作が億劫でnano買ってから半年ほったらかしで、
よりプァなPapilioとか1Chip-MSXとかの方でで遊んでたクチ、理由は純粋にI/Oの差。

手狭になってっきたんで、ようやっとnanaに移行し始めた処だよ。

73 :774ワット発電中さん:2014/01/08(水) 20:10:13.60 ID:41eSXTgy.net
たしかC3位から入力電圧の範囲が狭くなっていたはずで、その分ノイズに弱い。
多分微細化の影響だと思うけど、世の中の流れだから仕方ないよね…。

74 :774ワット発電中さん:2014/01/08(水) 23:08:54.93 ID:3MF0+WPD.net
ほとんどIOで選ぶだろうね
DE1使ってるからサポート切れは悲しいな

75 :774ワット発電中さん:2014/01/09(木) 12:06:30.30 ID:Ba1ACua3.net
暫く見ない内に「悪」が滅んだみたいだね。
電源ONで即稼働開始するFPGAは無くなったって解釈でイイの?

「寺」「罪」「拉致」では詰らない。。。

ダイナチップやクイックロジックとかも面白かったよね

76 :774ワット発電中さん:2014/01/09(木) 12:15:10.14 ID:aH9brJKb.net
あるじゃん

77 :774ワット発電中さん:2014/01/09(木) 12:44:08.94 ID:Ba1ACua3.net
>76
ガンダムがどうして出て来るのですか?

78 :774ワット発電中さん:2014/01/09(木) 14:38:59.16 ID:1NXbFdgD.net
75-77の流れがさっぱり理解出来んが・・・Microsemiなら普通に生きている
つか当て字キモイ。こういうのが許されるのは小学生まで。

79 :774ワット発電中さん:2014/01/09(木) 17:43:50.83 ID:oE9G2HD+.net
FPGAをつかってエフェクターのディレイをつくっています
http://www.youtube.com/watch?v=fVxoNzcRO1I

現在、AD変換したのをDA変換して出力する部分までは作ることができました。
そこで、FPGAに大量のレジスタを作って信号を遅延させようと考えていました。
しかし、論理合成にかなり時間がかかってしまうのと、回路規模が大規模になってしまうので
外部メモリを使用しようと思います。
しかし、外部メモリは初めて扱うので、どれを使うのが適切かわかりません。
どのようなRAMを買えばいいのでしょうか?
なるべく扱いやすいものが望ましいです。
信号は11bitでサンプリング周波数は約100kHzです。
最大1.5秒ほど遅延させたいので、11bit*100k * 1.5 で2Mbit程度のデータを格納できるものがいいです。

80 :774ワット発電中さん:2014/01/09(木) 19:29:32.08 ID:FsrNuf/d.net
Spartan6 の真ん中へんのやつが、
Block RAM 2Mbit

外付けRAMならSRAMで4Mbitくらいつけたら?
512K x 16bit

81 :774ワット発電中さん:2014/01/09(木) 22:24:37.76 ID:VmVDpM43.net
>>71
> 今新規でI/II使う理由ってあるの?
>>72
> 新規でI/IIを選ぶ理由は無いでしょ、

あるよ、CPLDでは内部メモリが足りない領域で。
この隙間の領域、III以降で I/IIより安いのが無いんだな。

82 :774ワット発電中さん:2014/01/10(金) 00:15:51.25 ID:x6OMeJIG.net
そういう隙間三行はLatticeの範疇。

83 :774ワット発電中さん:2014/01/10(金) 06:05:29.24 ID:6CZXVd7s.net
>>79
11bit/100kHzって、えらくバランスが悪いな
11bitじゃレンジ狭すぎだし100kHzは無駄に高すぎ

12bitぐらいまでで良いんだったらdsPICでも使った方がパラメータ弄ったり
簡単にできて楽だと思うが

84 :774ワット発電中さん:2014/01/10(金) 07:28:52.69 ID:bbT3z9X1.net
100kHzとか聞こえない領域まで取りすぎだよな

85 :774ワット発電中さん:2014/01/10(金) 09:23:04.23 ID:xwsj37Wa.net
1bit DAC まで進化する途中なのだ

86 :arisa ◆QaHT6HayjI :2014/01/11(土) 02:49:17.51 ID:1sHgz11l.net
>>10
日立製作所 大甕工場 に派遣請負される企業に就職すると、その能力は発揮できる。

87 :arisa ◆QaHT6HayjI :2014/01/11(土) 02:58:08.54 ID:1sHgz11l.net
>>79
サンプリング周波数が低すぎるから、
メモリは扱いやすい、遅いものならばなんでもよい。
FIFOとしてつかうってことでしょ?

88 :arisa ◆QaHT6HayjI :2014/01/11(土) 03:02:00.44 ID:1sHgz11l.net
>>55
業種によっては、3日合成して、タイミング制約に間に合わないとか普通だから。
リソース80%超えるとそんな感じになって、90%超えるとそんな感じにあぼーんする。
FPGA複数置くことを検討するか、デバイスを上位のものにするか、論理を効率化するしかないね。
と思う。

89 :774ワット発電中さん:2014/01/11(土) 03:12:32.55 ID:VvtOBf2U.net
100kHzでサンプリング周波数低すぎってコウモリ用エフェクターかよ

90 :arisa ◆QaHT6HayjI :2014/01/11(土) 03:25:48.94 ID:1sHgz11l.net
ああ、
100MHzでサンプリングしてそのままメモリに書き込む訳じゃないんだから、
FPGAの動作周波数に比べて所詮音声帯域で遅いので余裕がある。
だから、外付けRAMの速度はさほど気にしないで、
扱いやすくて安くて入手性の良いものを選べばいいと言う意味。

91 :774ワット発電中さん:2014/01/11(土) 08:22:50.70 ID:lDLEvp6B.net
サンプリング周波数があと1〜2桁速くなってから悩み始めるところだ

それで>>90の3桁速い100MHzサンプリングなら、
みんなはどんな構成考える?

92 :774ワット発電中さん:2014/01/11(土) 09:04:45.77 ID:WZpIsFyq.net
1秒分の容量を稼ぐために、DDRを使ったFIFOを使うと思う。
帯域的には、A社のメモリコントローラの性能問題にはまだ苦労しないですむはず。

93 :774ワット発電中さん:2014/01/11(土) 11:27:22.32 ID:9xkeMF7f.net
コウモリ用わらた
犬の調教用かもしれん

94 :774ワット発電中さん:2014/01/11(土) 13:15:33.51 ID:1Q36f6Xg.net
>>82
そんな隙間にツール変えていられるかつーのw
もっとも、そのツールのサポートが無くなった訳だがorz

95 :arisa ◆QaHT6HayjI :2014/01/12(日) 07:02:42.99 ID:rdgryW0N.net
>>91 >>92
DDRバースト転送でほぼいいと思うんだけど、以前、等長配線じゃなくて、
お客様からあずかった高価なボード、たたき割りたくなった。

そのFIFO介して、HDDとかSSDに書き込むようにして再生できるようなものを、
民生品レベルの金額で作れるようにしたら、信号処理の世界が広がり、バカでも大もうけできると思われ。

96 :774ワット発電中さん:2014/01/12(日) 09:09:10.28 ID:zA+rAFjx.net
>>95
> 等長配線じゃなくて、
DQS/DQのグループ内で等長ならいい筈だけど、それすら出来て無かったの?

97 :arisa ◆QaHT6HayjI :2014/01/12(日) 10:19:33.79 ID:rdgryW0N.net
>>96 わりい3年以上前で覚えてない。自分の技術が未熟だったかもしれん。少なくともそんな記号は無かった気がする。

98 :774ワット発電中さん:2014/01/12(日) 16:58:19.88 ID:lcPXfgFN.net
DQSの内DDRがあるわけないだろ

99 :774ワット発電中さん:2014/01/12(日) 17:24:34.63 ID:VVMZSyu4.net
>>98が何を言いたいのかさっぱり分からん。
等長配線の話だったはずなのに、何でDQS単位でDDRとかいう話になるんだ?

100 :774ワット発電中さん:2014/01/12(日) 18:20:07.68 ID:F5cBskFX.net
>>98
意味不明。伝わるように書け。

101 :774ワット発電中さん:2014/01/12(日) 19:33:06.25 ID:rp030gfJ.net
>>99
少なくとも、おまえがDDRを知らない事は分かるw

102 :774ワット発電中さん:2014/01/12(日) 19:39:05.74 ID:QLV43dEN.net
このスレ総じてだが、挙げ足取りしたあげく、能力の有無は知らんけど抽象的な答えばっかりでうんざりする
一般的な広義な意味で書かれている物に対して、局所的にしか見れず、自分の土俵に引っ張りこもうとする

103 :774ワット発電中さん:2014/01/12(日) 20:25:17.70 ID:J9vS9Z/p.net
どこのスレもそう

104 :arisa ◆QaHT6HayjI :2014/01/12(日) 20:57:58.82 ID:+ZJWjYOO.net
ここで見てる世界が局所的なんだから仕方ない。もっと交流しよう。

105 :774ワット発電中さん:2014/01/12(日) 21:17:05.13 ID:g+ZWvHZR.net
>>98
「DQSの無いDDRがあるわけないだろ」
って書きたかったんだろうけどそれでも意味不
誰もDQS無しのDDRの話なんかしてないし

106 :774ワット発電中さん:2014/01/13(月) 00:44:00.77 ID:34dJh8Rq.net
慰愚2 最強

107 :arisa ◆QaHT6HayjI :2014/01/13(月) 05:23:11.07 ID:5T2hUoY5.net
私が使ったのは、DDRじゃないことは確かだね。シミュレーションモデルはあったけど、最初はいいが後の方で、
文字化けしてたから。今考えると、ADの取り込みクロックのスキューの問題だったような。AD後にFIFOぶっこめ
ばいいような気がしてきたが。

その余談はともかく、100kHzのサンプリングメモリ書き込みの話は、DDRではなく、もっと遅い扱いやすいメモリを
つかったらいいとおもうのだが、遅くて安くてうまいメモリって何があるんだか、最近俺も勉強不足なので、
アドバイスしてやって欲しい。

と、書かないと、困っている人にアドバイスできないかな。

108 :774ワット発電中さん:2014/01/13(月) 09:27:37.10 ID:ZwhQr+Ab.net
>>102
> 抽象的な答えばっかりでうんざり

アホ?
一を聞いて十を知るんだよ。

109 :774ワット発電中さん:2014/01/13(月) 09:30:44.23 ID:ZwhQr+Ab.net
>>107
> 遅くて安くてうまいメモリ
SRAMか、容量が欲しければ疑似SRAMかな。

110 :774ワット発電中さん:2014/01/13(月) 09:35:28.05 ID:ply189l3.net
>>109
フラッシュのほうが遅くて安くて。ウマいかは知らん。

111 :774ワット発電中さん:2014/01/13(月) 09:56:43.14 ID:iQwyu+kL.net
http://parts.arrow.com/item/detail/arrow-development-tools/bemicrocv#yERF

$49.00、へたな回路考えるぐらいならこれ1つで十分だろ
DDR3/1Gbitも付いてこのお値段、こんなんで設けあるのかねぇ?

112 :774ワット発電中さん:2014/01/13(月) 10:04:03.48 ID:iQwyu+kL.net
秋月あたりで売ってくれないかな
この値段なら、1個あたりの儲けを千円程度上乗せしても 間違いなく売れると思うぞ。

113 :774ワット発電中さん:2014/01/13(月) 10:12:40.88 ID:eoB8hNta.net
>一を聞いて十を知るんだよ。
団塊の老害がよく使う常套句
一しか知らないやつから十得られる訳ないから

114 :774ワット発電中さん:2014/01/13(月) 11:18:01.67 ID:TEUtcNXD.net
質問者が具体的で的確な情報提供をできない限り、細かく答えようとしてもエスパーすることになる。
その成功率を考えると、回答が大雑把になるのはやむを得ないんじゃないかなーって思う。

今回の場合、基板レイアウトを完全に自前で設計できるか頼れる仲間がいるかでだいぶ違うわけで。

115 :774ワット発電中さん:2014/01/13(月) 11:24:12.14 ID:SZoMr61D.net
今じゃ若者は一を聞いて十を知り百の成果を出すことを求められるんだぜ、本当にかわいそう。
求めてる本人は、役員の言うことなら言う前から全てわかるエスパーらしいが、
部下の言うことは何度聞いてもわからんという脳か耳に異常がある人物。

116 :774ワット発電中さん:2014/01/13(月) 12:55:14.12 ID:vgbZ64S/.net
1から10とかできるわけ無いだろ
1の工数しか払わないけど10の工数分仕事しろとおなじだ

117 :774ワット発電中さん:2014/01/13(月) 13:16:08.79 ID:KpeUu0MZ.net
>>116
うまい

できる変態もいるとは思うが、他人に最初から求めることじゃないよね

118 :774ワット発電中さん:2014/01/13(月) 13:16:29.70 ID:zuA32zLp.net
>>116
うまい

できる変態もいるとは思うが、他人に最初から求めることじゃないよね

119 :774ワット発電中さん:2014/01/13(月) 13:40:10.35 ID:mrrOT/JG.net
>115
今の若者、100教えたって、3も入っていない。

ゆとりフルスペック = 人間の屑

彼らは、好き好んでコーなったのではないから責任は自分にはない。って
ヘラヘラ言うのが腹立つ

120 :arisa ◆QaHT6HayjI :2014/01/13(月) 16:45:58.45 ID:5T2hUoY5.net
じゃぁ。自分でやればいいじゃんw

121 :774ワット発電中さん:2014/01/13(月) 18:00:49.54 ID:vgbZ64S/.net
>>119
そのゆとり育てたのがお前の世代だぜ

自分は1教わって10覚えたのか?
今より金も納期も余ってた中、のんびり出来たんじゃないの?

122 :774ワット発電中さん:2014/01/13(月) 18:50:14.04 ID:oYL9O5gO.net
ロートルになると、身体が言う事聞かないんだよ。
頭も思いついた事をスグ忘れる。
目は見えない(近い遠いの問題でなくコントラストが落ちるんだよ)
耳も聞こえない。異常発信とかの「ちちち」とか全然聞こえない。
更に手が震えるから微妙な操作なんてできない。

どうだ、日本の将来真っ暗だろ。

123 :774ワット発電中さん:2014/01/13(月) 21:31:48.61 ID:ft9r3IGC.net
>>113
ゆとりかよw

124 :774ワット発電中さん:2014/01/13(月) 21:33:00.50 ID:eoB8hNta.net
老害って単語にご立腹かな

125 :774ワット発電中さん:2014/01/13(月) 21:34:37.32 ID:ft9r3IGC.net
>>116
おまえの辞書に「洞察」という言葉は無いのか?

126 :774ワット発電中さん:2014/01/13(月) 21:39:29.39 ID:ft9r3IGC.net
>>121
> 今より金も納期も余ってた中、のんびり出来たんじゃないの?
その通り。
勉強と言う名で、遊びながら学べた。会社の中で。

その点、今は可哀想だとは思う。

127 :774ワット発電中さん:2014/01/13(月) 21:44:16.64 ID:eoB8hNta.net
自分に優しく、部下に厳しい

クズじゃん

128 :774ワット発電中さん:2014/01/13(月) 23:17:15.53 ID:vgbZ64S/.net
現在の状況わかってその物言い
教える側になれる訳がないな

129 :774ワット発電中さん:2014/01/14(火) 00:53:33.30 ID:mvQEuNYX.net
プロマネ以外はカス。

130 :774ワット発電中さん:2014/01/14(火) 08:17:08.63 ID:RCZjQaRc.net
>>128
> 教える側に
まだ分かってないな。
教えてもらおうなんて、その根性がまるでダメ。

昔は余裕? 余裕と言うより放置だなw
罵声は日常茶飯事。
手書き報告書は目の前で破り捨ての、パワハラは当たり前。
トラブル発生なら容赦無く徹夜要員で、全国何処にでも行かされる。

そして、「仕事なぞ目で見て覚えろ」の師弟関係。

おまえらの上司は、熱血世代に鍛えられた新人類世代なんだよw

131 :774ワット発電中さん:2014/01/14(火) 09:03:39.69 ID:ZBbRTo0X.net
池沼は帰れって

132 :774ワット発電中さん:2014/01/14(火) 13:42:18.26 ID:q45W4Ptk.net
>>128
>教える側になれる訳がないな

>>130
>教えてもらおうなんて、その根性がまるでダメ。
     →教える気なんて毛頭無い
>そして、「仕事なぞ目で見て覚えろ」の師弟関係。
     →そもそも教えてもらった事無いからどうやっていいかわかんない

話が噛み合ってないように見えて、実は全然矛盾していない

133 :arisa ◆QaHT6HayjI :2014/01/14(火) 20:22:58.34 ID:KZqkkNfI.net
>>130
なにそのブラック企業。

134 :774ワット発電中さん:2014/01/14(火) 20:32:00.35 ID:tW0CMrTv.net
そんなことより
>>111が気になる

秋葉原のパーツ屋あたりで扱ってくれんかな

135 :774ワット発電中さん:2014/01/14(火) 21:15:54.82 ID:G/qyUFDY.net
バブル時代に就職した世代が一番糞って言われてるじゃん
テレビではゆとりばっか叩いてるけど

136 :774ワット発電中さん:2014/01/14(火) 21:22:23.10 ID:WlmoKL/R.net
>>122
「コントラストが落ちる」って、なんか腑に落ちた。

自分では「ダイナミックレンジが狭くなる」と解釈してた。

137 :774ワット発電中さん:2014/01/14(火) 21:27:45.22 ID:S4nmGasC.net
>>135
糞だけど就職できてるし、あと10年くらい大人しくしてれば勝ち

138 :774ワット発電中さん:2014/01/14(火) 22:09:26.19 ID:9tWKc+xm.net
>>135
半沢直樹世代だがなにか?
そういえば随分とソース書いてないなぁ、土方諸君のお陰だなw

139 :774ワット発電中さん:2014/01/14(火) 22:12:17.71 ID:G/qyUFDY.net
おじさんたちおこなの?

140 :774ワット発電中さん:2014/01/14(火) 22:30:01.30 ID:U2z9vA2x.net
老害が顔真っ赤や

141 :774ワット発電中さん:2014/01/15(水) 02:39:32.94 ID:MDTMVjVc.net
ところで、これ(>>21)を実現するサンプルコード(簡単でいいので)示せる方いませんか
VHDLでもVerilogでもいいので、エラー吐かないやつ
デューティ比の割合とかの話は置いといて

142 :774ワット発電中さん:2014/01/15(水) 06:08:48.62 ID:h9HOvB+A.net
>>141

input idata;
reg [1:0] sig1;

always@(posedge clk)begin
sig1 <= {sig[0],idata};
if(sig1 == 2'b10)
//立下り
elseif(sig1 == 2'b01)
//立ち上がり
end

2bitのシフトレジスタに立ち上がり、立下りを検出したい信号を入力し、レジスタの1bit目と2bit目を見ればいいのでは?

143 :arisa ◆QaHT6HayjI :2014/01/15(水) 07:25:31.19 ID:cGZm3Mhh.net
>>141
その前に、74ICレベルでいいから、立ち上がりと立ち下がり両方ラッチできる回路を組んで、
それがFPGA内でどのように実現されているか考えた方がいいと思うぞ。
きちんと、0→5Vの立ち上がりの何ボルトでラッチされて、
立ち下がりの、5→0Vの立ち下がりの何ボルトでラッチされて
その間は、何nsなのか、何usなのか。
クロックのジッタがどれがウライ合ってその誤差レベルまで考えて、
FPGAの中を設計するんだ。

言語で出来てもタイミング制約はかけような

超簡単にかくと

signal a std_logic;
signal clk std_logic;
signal rising_edge_a std_logic;
signal falling_edge_a std_logic;

rising_edge_a <= clk and a;
falling_edge_a <= (not clk) and a;

で、その後どうするのよ。FETで論理回路書かないと理解出来なさそう。風呂はいてくる

144 :774ワット発電中さん:2014/01/15(水) 10:04:18.84 ID:PVLQYP/i.net
クロックの両エッジじゃないの?

145 :774ワット発電中さん:2014/01/16(木) 09:38:00.88 ID:AEZWs6Gc.net
>>144
それで良いならCoolRunner2とかに積まれてるデュアルエッジ機能で良い訳だけど
3分周とかするのに便利〜

146 :774ワット発電中さん:2014/01/16(木) 19:59:40.94 ID:c5+Q105F.net
入力クロックの両エッジでカウントするカウンタはこんな感じで出来たよ
奇数分周器作るのに使ったけど当時のソースがみつからん

always@(posedge CLK) cntp <= cntn<CNT_MAX ? cntn+1 : 0;
always@(negedge CLK) cntn <= cntp<CNT_MAX ? cntp+1 : 0;

assign cnt_out = CLK ? cntn : cntp;

147 :774ワット発電中さん:2014/01/16(木) 21:19:43.50 ID:zL7pGCyd.net
コテがウザイ

148 :774ワット発電中さん:2014/01/16(木) 22:15:26.19 ID:7K6ylP0i.net
半田コテがウザイだなんて

149 :774ワット発電中さん:2014/01/17(金) 03:11:02.19 ID:ORM8N9VI.net
http://uni.2ch.net/test/read.cgi/denki/1323243866/123
  ↑   ↑   ↑   ↑   ↑  

150 :774ワット発電中さん:2014/01/17(金) 21:09:46.18 ID:Evjhl/lI.net
>>146
へぇ〜って思ったんでちょっとpdfをDLして見てみたんだけど、記述例として

VHDL
process (clock)
begin
if (clock’event) then
...
end if;
end process;

Verilog
always @ (negedge clock or posedge clock)

とあるみたいだね。
xilinxのことだからインスタンス化したライブラリで記述するのかと思っていたら、
XSTが意外に人間側に歩み寄ってて驚いた。

ときに、そういうDDRレジスタの構造を記述するような書き方でも DualEDGE triggered な
レジスタとして推論してもらえるの?
なんかユーザーロジックで作られそうな悪寒がするんだけど

151 :774ワット発電中さん:2014/01/19(日) 00:34:50.30 ID:aQ6c8bra.net
ついにHDMIから音でたぞ
手持ちの1台でしか確認できてないけどな

映像オンリのはググレが結構みつかるんだけど、 それに音声まで乗せたやつは殆ど無い
んで、仕様を見ながらシコシコ作ってたんだけど、デバッグの手段も無いし結構めんどくさかった
ビール飲んだら寝よ。

152 :774ワット発電中さん:2014/01/19(日) 03:58:52.41 ID:83GOwQFp.net
やったね、おめでとう

153 :774ワット発電中さん:2014/01/19(日) 09:46:02.87 ID:ToYoAT0D.net
>>151
すごいね!
見せてもらって勉強させてほしい。

154 :774ワット発電中さん:2014/01/19(日) 10:56:29.11 ID:Cj0JTHW2.net
おまいならどこにでも就職できそうだな。

155 :774ワット発電中さん:2014/01/19(日) 17:26:02.14 ID:0AawO8Jg.net
需要は有ると思うんで、取りあえず確認した環境だけ書いとく

音声出力が確認できたHDMIモニタは、飯山の"ProLite X2380HS"と言うヤツ
ボードはDE0-Nanoで、TMDS物理層のIFとして直列抵抗270Ω 8本(4x2)を付加
基本的には、http://sa89a.net/mp.cgi/ele/fpga_hdmi.htm の外付けと同じ。
DE0-Nanoの端子配列の関係で、差動端子は使ってないが 720pでも動いてる
映像のテストパターン生成部も、この人のを使わせてもらった。
それ以降のエンコーダは自前。

実装した音声は、パケット・タイプで "0/1/2" の三種類。
鳴らなければもっと増やすつもりだったけど、取りあえず上の3つを実装した時点で音声の出力を確認
乗せた音声は44.1kHz@16bit-2ch、 L/R別個に周波数スイープする三角波を作って "耳で確認"。
C-Bit/P-Bit共に(B.Xも)乗せてはいないが鳴っている。(これも駄目なら乗せるつもりだった)

参考にした仕様書は、"High-Definition Multimedia Interface Specification Version 1.4a"
と 文献 xapp460:"Video Connectivity Using TMDS IO in Spartan-3A.pdf"

DIVと違って、"使う側に優しく、作る側に厳しい" らしいんで(俺もそう思う)、
たまたま鳴っただけかも知らんし、厳格なモニタなら試すだけ無駄な場合も考えれるので(HDCP必須とか)
後に続く人の為、に上記の通りに動作確認環境を報告した。

156 :774ワット発電中さん:2014/01/19(日) 17:30:57.83 ID:tTgevK/d.net
コード見たい

157 :774ワット発電中さん:2014/01/19(日) 17:38:35.38 ID:jcAZ2joJ.net
Critical Warning: Synopsys Design Constraints File file not found
がでるんだが、これはどう対応すればいいの?
 昔はこんなのが出た記憶がないのだが、最近久しぶりに使ったらこんなのが
でてビックリ。

158 :774ワット発電中さん:2014/01/19(日) 17:39:12.91 ID:Cj0JTHW2.net
.sdcファイルは使ってる?

159 :774ワット発電中さん:2014/01/19(日) 18:15:11.32 ID:jcAZ2joJ.net
SDCのウイザードがあるのは見つけたんだが、どういう風に使うの?
クロックの周期くらいは設定できるが、まさか全部のI/Oに設定しないと
いけないの?

160 :774ワット発電中さん:2014/01/19(日) 18:38:25.46 ID:Cj0JTHW2.net
set_input_delayとset_output_delayが無いとI/O信号が絡むレジスタのタイミング解析ができない。
無ければ無いなりに解析されるが、それに関する警告ではなかろうか?

161 :774ワット発電中さん:2014/01/19(日) 18:41:50.55 ID:wtDG0RSD.net
ただ単にファイルがないだけでは?

162 :774ワット発電中さん:2014/01/19(日) 18:49:53.36 ID:jcAZ2joJ.net
外部信号はクロックとは非同期で変化はかなり遅いのでスタチックと考えていい。
とするとタイミングを設定する意味は殆どないので適当でいいのだが

163 :774ワット発電中さん:2014/01/19(日) 22:13:40.55 ID:F+PMkG+A.net
んなわけねえだろ

164 :774ワット発電中さん:2014/01/19(日) 22:15:45.27 ID:jcAZ2joJ.net
900個もワーニングが出る。WWW
 予備の出力で使わないPINはどう処理したらいいのだ? 全部ワーニングになる。
これが200個くらいある。

165 :774ワット発電中さん:2014/01/19(日) 22:39:19.32 ID:Cj0JTHW2.net
ワーニングはしょーもないのも多いから基本ムシ。
クリティカルワーニングはちゃんと確認。

166 :774ワット発電中さん:2014/01/20(月) 08:25:09.13 ID:EvOH/7XF.net
>>164
> 予備の出力で使わないPINは
弱くプルアッブかダウン。
入力開放は、(昔の常識で)ラッチアッブの原因。
今のFPGAではどうだか知らないけど。

167 :774ワット発電中さん:2014/01/20(月) 08:32:07.57 ID:pY1xaT4n.net
現代的な品種ならプログラム可能なプルアップ抵抗が殆どの端子にあるよ。

168 :774ワット発電中さん:2014/01/20(月) 08:34:16.59 ID:pY1xaT4n.net
つか出力ならほっといていいよね。

169 :774ワット発電中さん:2014/01/20(月) 08:48:17.07 ID:MTgMIzdg.net
warningほっとくと必要なのも見逃すおそれがあるからなるべくつぶすようにしてる

170 :774ワット発電中さん:2014/01/20(月) 21:51:23.49 ID:ymsMWQk2.net
>>168
「隣のピンがたまたまグランドで、デバッグ中に」とか、
「長期製品でマイグレーションが起きて…」ということもあるから、お薦めしないな。

171 :774ワット発電中さん:2014/01/20(月) 23:06:37.16 ID:YKKFOJFB.net
ゆるーい設計やっとんな。
電気的に悪いことわかっててプルアップ抵抗削減命令とか出ないのか?
銭の単位でコストダウンする
長期製品て保証期間すぎたら壊れるんですよそんなもん

172 :774ワット発電中さん:2014/01/20(月) 23:09:22.58 ID:YKKFOJFB.net
>>164
>900個もワーニングが出る。WWW
> 予備の出力で使わないPINはどう処理したらいいのだ? 全部ワーニングになる。

>>165
>ワーニングはしょーもないのも多いから基本ムシ。
>クリティカルワーニングはちゃんと確認。

ワーニングで何?

173 :774ワット発電中さん:2014/01/21(火) 00:00:07.18 ID:+teAYMKl.net
>>171
何か勘違いしてない?
FPGAの内部抵抗の有効/無効が
コストダウンと何の関係が有るのよ。

174 :774ワット発電中さん:2014/01/21(火) 00:03:19.16 ID:9RMVbcg8.net
挙げ足しか取れない老害は放置でいいよ

175 :774ワット発電中さん:2014/01/21(火) 04:08:28.28 ID:AulDyoka.net
>>171
壊れたら
「壊れちゃった。てへ」で交換すればいい業界ですか
ぬるいですね。

176 :774ワット発電中さん:2014/01/21(火) 07:52:39.33 ID:BaQvzVcx.net
>>175
壊れない物作ると修理代で儲からない
byソニー

177 :774ワット発電中さん:2014/01/21(火) 08:24:02.15 ID:JL7aPMwe.net
手持ちに、"AQUOS LC-32BD1(2006年製造)" って古い液晶テレビが有ったんで
試しにそれにつないでみたが、こっちは音 出なかったな。

自分の実装が甘いんだろうけど、トライする人はPC用のモニタで試したほうがいいぞ

もう少し粘ってみるけど、デバックの手段が無い以上 手詰まりで 恐らく駄目だろう。

178 :774ワット発電中さん:2014/01/21(火) 09:09:52.10 ID:LFAowhp+.net
>>171
> 長期製品て保証期間すぎたら壊れる
列車用制御系とか、医療系クラス3以上とか、壊れてもいいんか?

179 :774ワット発電中さん:2014/01/21(火) 09:13:59.04 ID:WauglZAw.net
>>178
保証期間過ぎた列車とか医療機器とか・・・

180 :774ワット発電中さん:2014/01/21(火) 10:27:58.91 ID:H8K2IzZe.net
列車用はフェイルセーフだから壊れてもいいんじゃね

181 :774ワット発電中さん:2014/01/21(火) 11:18:00.23 ID:E6HB1+a5.net
フェイルセーフになってても、意図した側じゃないほうに壊れるようになっちゃダメ、
という意味で寿命はある場合もあるよ。

182 :774ワット発電中さん:2014/01/21(火) 11:31:51.74 ID:S/2p7Odp.net
少なくとも>>171は、そういうので自分が死んでもいいと言っているのと同じだな。

183 :774ワット発電中さん:2014/01/21(火) 15:49:56.90 ID:qYIxMvSn.net
>>179
保証期間と保守期間は、違う。

184 :774ワット発電中さん:2014/01/22(水) 03:41:50.49 ID:PU137HgQ.net
意図した側じゃないほうに壊れるようではフェイルセーフになってないだろ
どんな壊れ方しても意図した方(安全な方)に倒れるのがフェイルセーフ

185 :774ワット発電中さん:2014/01/22(水) 08:07:47.38 ID:3aCRzEWj.net
>>184
> どんな壊れ方しても

この条件付けは無いだろ

186 :774ワット発電中さん:2014/01/22(水) 08:25:18.35 ID:zAk5403L.net
意図した側に故障させられるなら、故障しない設計の方が簡単。

187 :774ワット発電中さん:2014/01/22(水) 09:32:07.49 ID:Qa/G3qnx.net
確かに鉄道の保安系だと、古典的にはケーブルで重力に抗して吊る
(何があっても重力の働きで安全側に倒れる)とかいう絶対のフェールセーフ
が普通だけど、コンデンサの故障モードがショートかオープンか、
みたいなのは100%じゃない。

188 :774ワット発電中さん:2014/01/22(水) 09:44:05.29 ID:uTHS8lg6.net
>>187
> 確かに鉄道の保安系だと、古典的にはケーブルで重力に抗して吊る
ボール信号とかがそれですね。
でも、広い意味での故障には、人間の故障(人為的なミス)も入るので、
あの信号機だってあらゆる故障に対してフェールセーフってわけじゃないです。
人間がからまなくても、鳥がロープにひっかかる可能性がゼロとは限らないし。

どんなにがんばっても、「設計時に想定したよくありそうな故障」
に対処するのがせいいっぱいでしょう。

189 :774ワット発電中さん:2014/01/22(水) 10:23:15.02 ID:myU4qGDG.net
>>188
それではフェイルセーフになってない

190 :774ワット発電中さん:2014/01/22(水) 12:11:13.24 ID:mh7ij52o.net
もういいって 俺の常識=業界の常識 みたいなの。

191 :774ワット発電中さん:2014/01/22(水) 13:18:29.42 ID:Qa/G3qnx.net
>>189 じゃあおまえの定義するフェールセーフの実例を言ってみろ

192 :774ワット発電中さん:2014/01/22(水) 16:27:44.04 ID:nzHhZtrG.net
無知と検討違い、挙げ足取りの発言ばっか
絶対的な定義が決まってる訳でもないのに解釈の押し付け合い
仕事でも足の引っ張り合いしてるんだろうな

193 :774ワット発電中さん:2014/01/22(水) 18:44:21.74 ID:Qa/G3qnx.net
実質何も言ってなくて↑自分自身が無知と揚げ足取りと押し付けと足の引っ張り

194 :774ワット発電中さん:2014/01/22(水) 21:03:39.48 ID:PU/ZINQD.net
なんだ ID:Qa/G3qnx って、いつもの噛み付き男か。

195 :774ワット発電中さん:2014/01/22(水) 21:22:25.65 ID:mh7ij52o.net
製品が違えば求められる物も違う
ポータブルラジオに原子炉の制御レベルは要らない。

196 :774ワット発電中さん:2014/01/23(木) 00:44:37.66 ID:q/FdADoh.net
フェイルセーフ
フォールトトレラント

197 :arisa ◆QaHT6HayjI :2014/01/23(木) 04:08:27.35 ID:F/NPhJ2z.net
>>187
鉄道業界にいたけど、30cm四方のコンデンサ爆発させて、電車止まらせて修理品の手書き伝票書いていたけど。
フェイルセーフは良いけど、フェールセーフ追求すると動かないものが出来るんじゃね。

198 :arisa ◆QaHT6HayjI :2014/01/23(木) 04:15:36.87 ID:F/NPhJ2z.net
一番良いのは、2つ回路を用意することだけど、稼働率を同じにしておくと、故障のタイミングが一緒になって、
結果的に動かなくなることが多いよ。

RAID1とかRAID5のHDD交換して、データリカバリー中にアボーンして、倉庫の出荷が止まって、
手書き伝票している間に、テープでリカバリーなんかやると、RAID10が売れるけど、それだって、HDDが
同時三台壊れて、アボーンした現場にすら遭遇したことがあるけど。

壊れないシステムつくると、人間が馬鹿になって、機会がないので伝票かけないから商売できませんっていう社員生まれるし。

まず、なんで、フェールセーフしたいのか、そもそもの仕様を定義してみれ。

199 :774ワット発電中さん:2014/01/23(木) 08:45:01.31 ID:Qk2R4LFN.net
クソコテよ、日本語でおk

200 :774ワット発電中さん:2014/01/23(木) 11:24:19.04 ID:tBzJUnCs.net
それは日本語を読めない奴の言い訳セリフじゃないぞw

201 :774ワット発電中さん:2014/01/23(木) 12:11:59.79 ID:/f+N28y4.net
>>198
鉄道業界にいたくせに何も知らないんだな。
動かなくなるからフェイルセーフなんだけど。

202 :774ワット発電中さん:2014/01/23(木) 17:32:34.65 ID:Mx2NfVwN.net
フェイルセーフ(フェールセーフ、フェイルセイフ、fail safe)はなんらかの装置・システムにおいて、
誤操作・誤動作による障害が発生した場合、常に安全側に制御すること。

203 :774ワット発電中さん:2014/01/23(木) 20:07:07.37 ID:zR2BC5hs.net
"AQUOS LC-32BD1" の方も、ついにHDMI経由で音出たぞ

デバック環境も無いのに、我ながら良く頑張った。
いやぁー嬉しいな、年甲斐も無くまたビール飲んでる。 今度はツマミ付きで

204 :774ワット発電中さん:2014/01/23(木) 20:12:38.04 ID:XVZLsu9A.net
>>200
おまえはこの↓読点で区切っただけのダラダラ文章が、まともだと思うのか?w

>RAID1とかRAID5のHDD交換して、データリカバリー中にアボーンして、倉庫の出荷が止まって、
>手書き伝票している間に、テープでリカバリーなんかやると、RAID10が売れるけど、それだって、HDDが
>同時三台壊れて、アボーンした現場にすら遭遇したことがあるけど。

205 :774ワット発電中さん:2014/01/23(木) 20:56:50.13 ID:RLT8q6Up.net
fool proofも思い出してあげてください

206 :774ワット発電中さん:2014/01/23(木) 23:12:48.82 ID:Xd8/ilR4.net
>>203
すげー
githubでもopencoresでもpastebinでも、どこかにうぷしてほしいもんだ

207 :774ワット発電中さん:2014/01/24(金) 01:29:23.83 ID:WzGOGLl1.net
>198
冗長度1で痛い目を見た人が、なんでRAID6じゃなくてRAID10にするんでしょう…?
RAID1や5で同時故障すると意味ないのはその通りなので、ちゃんとしたとこは違う型番でペアを作るようにしますね。

208 :774ワット発電中さん:2014/01/24(金) 04:18:48.43 ID:NgHzgNhg.net
RAIDチップのメーカーは同一型番のHDDを使う事を推奨してたりするけどな

209 :arisa ◆QaHT6HayjI :2014/01/24(金) 08:22:17.74 ID:cjHfHnsP.net
>>201
動かなくなると事故扱いだから、二重にするんだけど。
それでも、壊れるときは壊れるんだけど。

210 :arisa ◆QaHT6HayjI :2014/01/24(金) 08:23:48.95 ID:cjHfHnsP.net
>>203
音ぐらいは、楽に出るだろう。実際やるのはすごいが。
HDMIはわからないが、DVIの出力ぐらいは簡単なのでやってみれ。
それができたら、HDMIで映像ぐらい出る。

211 :arisa ◆QaHT6HayjI :2014/01/24(金) 08:25:32.92 ID:cjHfHnsP.net
いちいちコテハンだからといって、日本語に突っ込んで本質的なところ・・・

212 :774ワット発電中さん:2014/01/24(金) 08:27:49.61 ID:YXKoxDgT.net
クソコテ乙w

213 :774ワット発電中さん:2014/01/24(金) 19:50:51.14 ID:hrqii6/L.net
>>203
おお!
コードまではいいからノウハウだけでも教えてくだされ

>>210
音鳴らすのは映像よりむずいぞ
映像はDVI出せたら解像度さえ規格に合わせたら映る

214 :774ワット発電中さん:2014/01/24(金) 20:26:12.59 ID:D8odHQlX.net
>>213
仕様書のページを指定して、この部分どう実装した?
とか具体的に聞いてくれれば、解る範囲で答えるよ。

抽象的な質問には答えようが無いな、オマエだってそうだろ。

215 :774ワット発電中さん:2014/01/24(金) 21:49:15.34 ID:hrqii6/L.net
>>214
以前パケットタイプ0,1,2を実装してPCモニタで音が鳴らせたという報告があったけど、それでは足りなかったわけだよね。
何が足りなかったのかが興味ある。

216 :774ワット発電中さん:2014/01/24(金) 22:33:48.47 ID:D8odHQlX.net
>>215
今は、0x00, 0x01, 0x02, 0x84を実装してある。 0x01, 0x02が必須なのはスグ解るとおもうが、
問題だったのは おそらく0x01(Audio Clock Regeneration (N/CTS))を送出する頻度。

音声と映像に同期クロックをしている場合、0x01のパケット値は変動しない固定値と成るから、
Vsync毎に1回とか 当初は適当な頻度で送ってた。(未来永劫同じ値だからな)

そこを、 7.8 Packet Delivery Rules/7.8.2 Audio Clock Regeneration Packets に沿うように修正、
と同時に0x84(Audio InfoFrame Packet Header)の実装も追加

どちらが効いたかの区別確認はしてないが、恐らくPacket Delivery Rules の方だと思う。

---
0x00(NULLパケ)は、data island に空きが出ないように挿入している程度
data islandに空きが有るとDVIモードに倒さされる 見たいな事を、とどっかで見たような気がしたんでそうしてみた。
少しぐらいなら空きが有っても大丈夫じゃないかな。 実装コストはタダ同然だから気にもしてないが 恐らく不要だと思う。

217 :774ワット発電中さん:2014/01/25(土) 09:55:31.44 ID:qUQLwD4X.net
なんか色々面倒そうだな・・・

218 :774ワット発電中さん:2014/01/25(土) 23:43:22.16 ID:rAQ2tDTf.net
>>216
ありがとう。
時間があれば自分でもやってみたい。

>>217
元々映像しか伝送できないものに無理矢理後付けで音声とか入れだしたからわやくちゃな規格になってるんだろうなぁ。

219 :arisa ◆QaHT6HayjI :2014/01/26(日) 12:58:11.84 ID:8eTji4i4.net
要約するとブランク期間に音声パケットを挿入するということか。

220 :774ワット発電中さん:2014/01/26(日) 18:37:00.59 ID:rkUs3xni.net
クソコテよ、無知をさらけ出してどうするw

221 :arisa ◆QaHT6HayjI :2014/01/28(火) 21:48:52.34 ID:/cU/wyZ5.net
ムチですみません。あっ、Mじゃないです。

CQ出版のHDMIの本ぺらぺらめくったけど、そこまでできるなら、光音声IFとか余裕でしょ。

あと、昔SIN波形出すモジュールを1/4 ROMテーブルとCOSDICでつくったことあるけど、
それ複数入れると和音とか出せて夢がひろがりんぐ。

222 :774ワット発電中さん:2014/01/28(火) 22:01:35.27 ID:tHjAJh21.net
↑なんなの?この基地外

223 :774ワット発電中さん:2014/01/28(火) 22:08:25.68 ID:cOD1sLMA.net
http://uni.2ch.net/test/read.cgi/denki/1380867942/488-490n

224 :774ワット発電中さん:2014/01/28(火) 22:10:49.14 ID:bu+iOBCV.net
どう素人向けの読み物を見てどうする。

HDMI Specification Version 1.3 pdf
http://www.microprocessor.org/HDMISpecification13a.pdf

人に意見するなら、最低限でも↑を読でから物を言え。

225 :arisa ◆QaHT6HayjI :2014/01/28(火) 22:38:43.31 ID:/cU/wyZ5.net
みんな、リロードしないで、スレが上がったら、メールか何か送るシステム使ってるんだね。

226 :774ワット発電中さん:2014/01/29(水) 03:27:54.63 ID:0eI73s1N.net
意味がわからない
大陸の人かコイツ

227 :774ワット発電中さん:2014/01/29(水) 05:29:55.05 ID:Qfexq0k9.net
>>226
お前にワロタ。ここはFPGAのスレだ。あなたもFPGAについて何か投稿してみたらいいだろう。

228 :774ワット発電中さん:2014/01/29(水) 08:53:21.33 ID:w4K1h5Bw.net
クソコテよ、おまえは2ch初心者なのか?

1. 2chには専用ブラウザがあり、新規投稿があったスレだけを読める。
2. 2chは匿名掲示板だ。そこでコテを付けるのは、「みんなで僕をイジメて下さい」と言っているのと同じだw

229 :arisa ◆QaHT6HayjI :2014/01/30(木) 20:26:16.68 ID:cf+7mPS9.net
>>224
7 Audio
7.6 Audio Data Packetization
8.2.2 Audio InfoFrame

5.2.3.5のよくあるECCシンドローム生成回路を仕事中の息抜きに5分程度眺めました。

230 :774ワット発電中さん:2014/01/31(金) 09:48:13.07 ID:jGAAYV75.net
いぐるう2のVQ144は消費税増税前に出て来るのでしょうか

231 :774ワット発電中さん:2014/02/01(土) 03:51:40.42 ID:M7CLIu/a.net
クソコテに、英語の仕様書を提示しておいて、自分らがわかっていない、腐ったニコニコ技術部のスレはここですか?

232 :774ワット発電中さん:2014/02/01(土) 08:19:43.41 ID:RhGeQ7aw.net
いいえ

233 :774ワット発電中さん:2014/02/01(土) 20:30:18.33 ID:0RFNvwaX.net
HDMIは1.4HDCP対応のチップが売っているからそれで十分じゃないか

234 :774ワット発電中さん:2014/02/01(土) 20:50:50.44 ID:19XyZpET.net
いいえ

235 :774ワット発電中さん:2014/02/04(火) 23:25:16.15 ID:UlfxY0K2.net
クソコテが2度と湧かないように塩でもまいておくか。

何やってんだか
ttp://hissi.org/read.php/denki/20140201/TTdDTEl1L2E.html

巣はここか、キモイことこの上無いな。ずっと引篭っていてくれ。
ttp://hissi.org/read.php/voiceactor/20140202/Qnd2cUd5S0Yw.html

236 :774ワット発電中さん:2014/02/05(水) 18:42:51.71 ID:c1J2FU3i.net
http://de1-soc.terasic.com/

メモリ1GB(A9用)+64MB(CycloneV用)かぁ。GigabitEthernetもついているし盛りだくさんだなぁ。

237 :774ワット発電中さん:2014/02/05(水) 18:54:40.90 ID:crkGsdnn.net
DE1買ったばかりの俺涙目

238 :774ワット発電中さん:2014/02/05(水) 19:20:59.95 ID:c1J2FU3i.net
DE0じゃなくてDE1買ったの?w

239 :774ワット発電中さん:2014/02/05(水) 19:36:43.88 ID:CeXYM2js.net
terasic, Cyclone Vシリーズの安いヤツは、何時までたっても在庫無しのままだな
売れすぎなのか/安すぎで儲けが無いからなのか は知らんが
いい加減、物を揃えて欲しいよ。

240 :774ワット発電中さん:2014/02/06(木) 03:25:18.88 ID:4sj+Z2dm.net
>>238
SRAMとクロック複数欲しかったから

241 :774ワット発電中さん:2014/02/06(木) 08:17:54.43 ID:Tvj8uYtN.net
DE1-SoCはSRAMなさそうだけど

242 :774ワット発電中さん:2014/02/07(金) 16:08:18.66 ID:Yg6/ySum.net
ACアダプタてついてんのかorz
12Vだけ書いてて何Aかスペック探してたわ
至れり尽くせりか。
でも買って何につかうんこれ?
DE1-Nanoとか出ないのか?

243 :774ワット発電中さん:2014/02/07(金) 21:32:29.04 ID:ocNlo1GL.net
アカデミック$150だし、教育用だろ。
サンプルとかたくさんついてるのかな。

244 :774ワット発電中さん:2014/02/09(日) 15:49:19.10 ID:zZI4NQY3.net
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=830
どっちかっつーとこっちの方がDE1の名前を冠すべきだったんじゃないのかな

245 :774ワット発電中さん:2014/02/10(月) 09:48:28.08 ID:/nR3Xu3D.net
40-pin Expansion Headers て
ピンヘッダのことか?

246 :774ワット発電中さん:2014/02/10(月) 10:17:23.69 ID:wIiHlo7B.net
はい

247 :774ワット発電中さん:2014/02/11(火) 18:04:02.17 ID:kFzYCKmx.net
ハードウェア言語の場合、LGPLの解釈ってどうなっているのかな?
静的リンク、動的リンクという概念はそもそも無いし
ライセンスの影響が及ぶのはFPGA内?システム全体?
リバースエンジニアリングもストリームを暗号化してしまえば
事実上阻止できるよね

248 :774ワット発電中さん:2014/02/11(火) 22:24:22.65 ID:Nvh2kvbt.net
LGPL(等)は著作権を「テコ」として使っているが、今のところハードウェアと
ハードウェア記述言語と著作権の関係がどうなっているか、いまいち明瞭で
ないので、インフラが何も無い状態でそのアプリについて何も言えないのと
同じような感じで、何も言えない。

249 :774ワット発電中さん:2014/02/13(木) 12:12:06.97 ID:tfcKau7O.net
パーシャルリコンフィグでもしないと性的リンクになりそうだ

250 :247:2014/02/13(木) 21:37:36.38 ID:ubGQzCgi.net
ありがとう

>>248
となると現状ではクローズドな物が含まれる可能性がある物には使わない方が良さそうですね

>>249
性的・・・だと・・・じゃなくて、なるほどそう言う手もあるのか

251 :774ワット発電中さん:2014/02/14(金) 02:16:02.45 ID:PCy1Gfaf.net
FPGA向けのIPに、いわゆるソフトウェアでのフリーソフトに当たるようなものってあんの?
ほとんどがライセンスがカチッとしたものかと思ってたわ

252 :774ワット発電中さん:2014/02/14(金) 04:07:38.54 ID:TCp9Jhea.net
>>251
http://opencores.org/

253 :774ワット発電中さん:2014/02/14(金) 08:03:08.86 ID:LPEze/ez.net
BSDライセンスライクなUSB2.0 HighSpeed対応のIPが欲しい

254 :774ワット発電中さん:2014/02/14(金) 08:12:14.90 ID:M1Urrshk.net
馬鹿馬鹿しい。
サイプレス繋いでおけ。

255 :arisa ◆QaHT6HayjI :2014/02/14(金) 08:26:42.66 ID:D5OCWfmB.net
>>253
自分でつくればええやん。

256 :774ワット発電中さん:2014/02/17(月) 09:51:42.45 ID:pMim4jrW.net
エラー無ければええねん

257 :774ワット発電中さん:2014/02/17(月) 22:31:42.04 ID:DiFU4sX2.net
opencoresってソフト的な組み方でfmax低いイメージがある。
まともに使おうとしたことが無いので勝手な思い込みだけど。

258 :arisa ◆QaHT6HayjI :2014/02/18(火) 00:22:22.82 ID:Au01EFfp.net
>>257
開発している人のスキルとか考え方によるkamo。

まれにバグがあるものもあるし・・・

259 :774ワット発電中さん:2014/02/18(火) 00:29:42.48 ID:DTgMPnB7.net
商用でも仕様というなのバグは幾らでもあるし

260 :774ワット発電中さん:2014/02/18(火) 07:06:49.66 ID:4osizlJP.net
>>259
仕様ならしょうがない

261 :774ワット発電中さん:2014/02/18(火) 15:01:56.24 ID:lX2RzDBn.net
>>260
しょうがないんだけど
指摘して追記されるのは納得いかんよね

262 :774ワット発電中さん:2014/02/18(火) 18:30:32.64 ID:PT7esQZ7.net
>>261
そう言う契約ならしょうがないな

263 :774ワット発電中さん:2014/02/18(火) 20:33:42.64 ID:ZJ1D+fyT.net
>>261
キミの指摘によって他の誰かが幸せになるのだから、良い事をしたと思えばいい。

264 :774ワット発電中さん:2014/02/19(水) 23:52:31.22 ID:hXmsLIGC.net
税金タカリのペテン師くさいのだが、自称FPGAのプロのコメントよろぴく。

ttp://pc.watch.impress.co.jp/docs/news/20140217_635520.html

NTFSに対応ってドライブ圧縮やNTFSのセキュリティ記述子に対応している
とか思えんのだが? パーティション分割には対応?

セクタ単位でアクセスできても意味なんてないし、1バイト単位のアクセス
許可情報はどこに保存しているんだろうね?

OSに依存しないってことは、OS依存のドライバもインストールしないんだろ
うし、ファイルにアクセスしている実行プロセスの所有者やアクセス権限は
どうやって取得するんだろうね?

アカウント権限に関係なく1バイト単位でアクセス制限?

265 :arisa ◆QaHT6HayjI :2014/02/19(水) 23:52:49.16 ID:Gj2IRmxY.net
指摘って、”しゅてき”なことだよね

266 :arisa ◆QaHT6HayjI :2014/02/20(木) 00:02:35.44 ID:zwOvSp92.net
>>246
「SATAのプロトコルの電気信号をFPGAで分解して、特定のセクタの信号をマスクすることに成功しました」
読み込んだ情報を、CPUで処理するか、専用ハード(ここではFPGA)で処理するかその程度の違い。
その気になれば、HDDの特定の場所の情報を、専用ハードで取得して、パトライトを光らせますといったことは出来るでしょ。
それが、CPUでソフト組んだ方が早いか、ハード組んだ方が早いか、それだけの話。
どうやって取得するかは、NTFSとSATAの仕様でも1日ぐらい眺めていれば答えは見つかるだろうから、わかったら書き込んでくれ。

267 :arisa ◆QaHT6HayjI :2014/02/20(木) 00:03:31.68 ID:zwOvSp92.net
>>266>>264へのレスで、アンカーミスですみません。

268 :774ワット発電中さん:2014/02/20(木) 09:08:22.14 ID:nAybmgbJ.net
>>264
バイト単位の下りは記者も理解せずに書いているっぽい。
ちょっとワケワカメだけど、読み出したセクタとの比較でなんとかしているのは分かる。

> アクセスしている実行プロセスの所有者やアクセス権限
プロセスなんて気にする必要ない。
アクセス権限はどう処理しているのか、記事からはなんとも言えないね。

269 :774ワット発電中さん:2014/02/20(木) 10:44:34.46 ID:251h7560.net
バイト単位の件は、セクタ全体じゃなく一部だけ制限したいときに、
同じセクタ内の制限していない部分の書き換えは
許可できるようにしてあるということ。

ただ、プレスリリース
http://www.aist.go.jp/aist_j/press_release/pr2014/pr20140214/pr20140214.html
読んだけど、全貌は記者じゃなくても理解できんな。

どのセクタのどのバイトを保護するかはSBD制御装置って
やつに記憶させておくっぽいが、
それを「人間」がどうやって与えるのかまったく不明。
どんなファイルシステムかわかってないと指定できないだろ。
ここがOS非依存といいつつNTFS限定の所以か?

あと、正当なアクセスなのかマルウェアによるアクセスなのか区別する方法が不明。
NTFSのアクセス権限で区別できるならそもそもこの装置はいらないわけで、
OSが区別できないものがこの装置でできるのかと。
それとも区別せず、正当ユーザさえ制限区域のアクセスができないのだろうか。

さらに、FPGAを強調する理由がまったくわからん。
スピード?ASICじゃだめなん?動的書き換えでもするならそう書くだろうし。

270 :774ワット発電中さん:2014/02/20(木) 13:28:56.92 ID:mw82AHf3.net
>>269
>Linux系のEXTおよび小規模ストレージ向きのFATについては近日中に対応予定である
これだからFPGA使ってるんじゃないの?

271 :774ワット発電中さん:2014/02/20(木) 14:28:20.49 ID:uYLPKipD.net
初心者です。教えてください。
ALTERAのcycloneIIIにおいて、sopcビルダーでUARTを実装し、PCからシリアル通信で命令を受け取るような仕様にしています。
NIOS II EDSのRUNからだとうまく動くプログラムが、コンフィギュレーションROMに書き込んでそこから起動するとうまく動きません。
具体的には送った命令の文字数の累計が500文字ほどになると、それ以上命令を受け取っても何も反応がなくなります。
何か文字列を保持する領域がオーバーしているようなイメージがするのですが、ROMから起動するか否かでそれが変わるものなのでしょうか。
よろしくお願いいたします。

272 :774ワット発電中さん:2014/02/20(木) 19:25:53.06 ID:iEHYXMfC.net
Terasic P0150、 DigiKey から到着
前回聞かれた使用目的は、今回は無かったな。

273 :774ワット発電中さん:2014/02/20(木) 19:30:47.78 ID:w90e02u8.net
>>271
デバッガで動いてROMに焼くと動かないというのはソフトの初期設定漏れが
疑われるね

274 :774ワット発電中さん:2014/02/20(木) 21:41:01.32 ID:+AdvbuPQ.net
>>269
キミ、そもそもマルウェアの動きを理解してないだろ?

275 :774ワット発電中さん:2014/02/20(木) 22:40:18.30 ID:+tR/+uN4.net
>>271
JTAG UART にデバッグ用の出力をしてたりしないかね?
BSP editor で
altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error
を true にしてみるのだ

276 :774ワット発電中さん:2014/02/20(木) 23:41:35.07 ID:IlbOscQ6.net
>>270
ところが、解説を読む限り、このボードはOSのファイルシステムや
アクセス権限を介すことなく、NTFSのファイルマッピングを取得して、
ディスク上のファイルのセクタを特定した上で、SATAインターフェース
等に介在してI/Oをトラップし、そのセクタへの読み書き処理をオーバー
ライドしてセクタ内をバイト単位でマスクできるという。

しかも、PC本体のパフォーマンスを落とさずに。

見た限り、SO-DIMMらしきモジュールを搭載するようなコネクタは
あるが、ロクにメモリも搭載しているとは思えない。

古臭いUnixのrwxと違って、NTFSはファイルやディレクトリ単位で所有
者が設定でき、ユーザやユーザグループ単位でアクセス権限を詳細に
設定できる。

デスクトップで実行するアプリケーションは原則、ログイン中のユーザ
権限が適用されるが、一部のサービスやアクセス権を昇格したアプリ
ケーションについては、Administrator権限で実行することもできる。

277 :774ワット発電中さん:2014/02/21(金) 01:12:39.30 ID:2FayDz9Z.net
書き込みをエミュレートして実際には書き込まれていないってのならまだしも
改ざんされたら再起動時に書き戻すとか書いてある。
そんな仕様であれば、もひとつディスクがないと無理じゃないのかな。
ミラー化して持っておけば比較して改竄されたかチェックできるよね。

278 :774ワット発電中さん:2014/02/21(金) 08:35:46.16 ID:xt79yVDv.net
>>277
もうひとつディスクはあるよ。
終了

279 :774ワット発電中さん:2014/02/21(金) 09:22:24.13 ID:L0VcrX4S.net
アンチウィルスでも入れとけよと

280 :774ワット発電中さん:2014/02/21(金) 09:36:22.85 ID:YNniSbuz.net
つ[ゼロデイ攻撃]

281 :774ワット発電中さん:2014/02/21(金) 12:31:52.10 ID:Ymd8Y+fx.net
終了

282 :774ワット発電中さん:2014/02/21(金) 21:15:46.93 ID:77rCsqjk.net
>>278
同じファイルを複数回に分けて改竄されたら戻せるの?

GitやSVN,CVSみたいに差分の履歴でも保存するの? 素人相手のデモなら
ともかく、そんなコトやってて実用性能出せるの?

改竄と普通の更新を誰がどうやって区別? リカバリは人間がバイト単位で
ファイル内の場所を指定するの? ファームが腐ってて、データが壊れる
心配はないの? 全バックアップの方が安全・安心だと思うが?

STAP細胞と同じくらいの胡散臭さしかない。

283 :774ワット発電中さん:2014/02/21(金) 21:23:21.17 ID:yt1NtnjA.net
スレチ

284 :774ワット発電中さん:2014/02/21(金) 23:04:28.71 ID:E3XTR0WU.net
>>282はスレチの上に突っ込みどころ満載のツッコミやねw

285 :774ワット発電中さん:2014/02/21(金) 23:45:45.73 ID:77rCsqjk.net
予算確保のために年度末で成果捏造のイノベーションごっこですね。
わかります。

286 :774ワット発電中さん:2014/02/22(土) 04:30:09.79 ID:xB5XG1EC.net
バックアップとか用途というか目的が違うだろ
書き換えさえ防げば良いならWORM(ライトワンス)にしちゃえば良いだけの話

これ見て「バックアップでいいんじゃね?」ってのは、自動ブレーキに対して
「ぶつけたら保険で新車に買い換えれば良くね?」って言ってるようなもん
防ぎたいのは事故が起きた時の車(データ)の損失じゃなくて、それに伴う被害の回避

287 :arisa ◆QaHT6HayjI :2014/02/22(土) 06:36:00.39 ID:57S51kb6.net
>>282 はそんなに興味あるんだったらどんな研究なのか電話して論文頂戴して確認してこい。
所詮研究で、できるかどうかためしただけだろ。 結論から言うと出来る。
ただ、おまえののいわんとしているところまでは出来てない。
それが理解できないお前がうさんくさい。

まぁ、コテハンの私の方がうさんくさいですがw

288 :774ワット発電中さん:2014/02/22(土) 07:15:37.24 ID:hniW1eAk.net
キモーイじゃなくて、モモーイですか?

289 :774ワット発電中さん:2014/02/22(土) 15:18:28.85 ID:0wG26gAp.net
>>275
ありがとうございます。確かにJTAGUARTでデバッグ用に出力しています。
しかし、おっしゃっている
altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error
が見つかりません。
JTAGUARTの項目には
altera_avalon_jtag_uart_driver.enable_small_driver
しかないです。何か設定が足りないでしょうか。よろしくお願いいたします。

290 :774ワット発電中さん:2014/02/22(土) 15:53:37.99 ID:DKpGJW/i.net
>>289
alteraマイサポートに聞け

291 :774ワット発電中さん:2014/02/22(土) 17:59:42.93 ID:MaVVfl8D.net
>>289
ROM焼くときだけ JTAG UART に出力するのやめたらいいじゃん

292 :774ワット発電中さん:2014/02/22(土) 18:18:41.45 ID:Zvl2h/5x.net
FPGAのお勧めのサイトとかありますか?
開発環境とかイマイチどういう感じなのか分からないんですが

293 :774ワット発電中さん:2014/02/22(土) 18:26:17.37 ID:hanb6sKq.net
マイコンファームのIDEと本質は変わらんけど
プロジェクト作ったらデバイス選択して、ソースを追加して編集して
合成(ビルド)して、書込用ファイル出力する

マイコンとの違いは使うデバイスで開発環境がガッチリ固定される事
俺はAltera、Xilinx、Lattice使ってるがIDEのデキだけいうと
Altera >> Lattice >>>>>>>>>>>>>>> Xilinx だな

Xilinxはデバイスはとても良いのにツールはクソ揃いだ
Vivadoはどうなんだ?

294 :774ワット発電中さん:2014/02/22(土) 18:26:52.11 ID:FVcK6PmH.net
フリー版を入手して試す。

295 :774ワット発電中さん:2014/02/22(土) 19:03:37.86 ID:MMB+LM1I.net
Latticeは知らんけど、 "Altera > Xilinx" に関しては俺もそう思うな
Xは無意味なwarningが多すぎ、本来必要な情報が埋もれてしまう。

296 :774ワット発電中さん:2014/02/22(土) 19:52:57.05 ID:uCavE2FQ.net
へ〜、Xilinxはだめか。おれISEしか入れてないからそういうもんだと思ってた。
Alteraに乗り換え検討してみる。

297 :774ワット発電中さん:2014/02/23(日) 00:09:23.32 ID:pzKsslis.net
>>289
タブ『Drivers』の下。
settings.bsp を直接書換えてもよろしいのですよ

298 :774ワット発電中さん:2014/02/23(日) 17:57:04.68 ID:YlNSN1OM.net
Verilog初めて勉強してるけど
言語仕様が古臭いし謎。
begin〜endで括るとか{}じゃだめな理由がわからない。
糞みたいなVisualBasicをリスペクトしたんだろうか。

XilinxのISE使ったけど日本語コメントでエラーが出るな。
パスに日本語が含まれてるとダメ?そんなのいまだにあるんかい。

いかにも電気屋の作った言語仕様にソフトウエアという感じだ。

299 :774ワット発電中さん:2014/02/23(日) 18:02:22.17 ID:/MkaLBM9.net
虐めてもらいたいのか?w

300 :774ワット発電中さん:2014/02/23(日) 18:08:14.73 ID:qnljweWl.net
前スレのスケマさんか?

301 :774ワット発電中さん:2014/02/23(日) 18:16:09.24 ID:JWuRbsoV.net
>>298
begin endがVB云々とかなんか新鮮だな。disるなら少しは歴史勉強したら良いのに。
書き方見てもPascal -> Delphi -> VBの流れを踏まえての話にゃ見えないし。

302 :774ワット発電中さん:2014/02/23(日) 19:08:22.49 ID:m3RLsQSH.net
まあいいたいことはわからんでもない
今はSystemverilogまで発展してるからマシになってきたが
最初のVerilogって言語としてプア過ぎるよな

303 :774ワット発電中さん:2014/02/23(日) 19:22:47.58 ID:YlNSN1OM.net
>>301
くだらねえこと言ってんじゃねえ
バーカ

304 :774ワット発電中さん:2014/02/23(日) 19:24:53.80 ID:/MkaLBM9.net
VHDLの表記方法の酷さにくらべたらverilogなんてきれいじゃないか。
なんで最後の行だけ;なしを強制すんだ、とか。

305 :774ワット発電中さん:2014/02/23(日) 20:01:06.37 ID:DqRc5d4O.net
Pascal つーか Algol の構文なんだから、それをきちんと(Adaから)受け継いでる
VHDL のほうがマシ。
Verilog HDLは中途半端に意味わかんないチャンポンになってる。

306 :774ワット発電中さん:2014/02/23(日) 20:03:20.35 ID:DqRc5d4O.net
最後にセミコロンが無い云々は、C言語だって似たようなもん。

if (a = 10) {}
if (b = 20) {}
if (c = a +b) {}

こういう風に書けばセミコロン無しで書けるC言語だってかなりおかしいけど、
みんな慣れちゃってるだけ。

セミコロンはターミネータでなくセパレータ、と、ちゃんと理解すればそれだけのこと。

307 :774ワット発電中さん:2014/02/23(日) 20:17:57.81 ID:BlEcLTih.net
;

じゃぁ、これの挙動とその理由はどう説明する。

308 :774ワット発電中さん:2014/02/23(日) 20:35:20.98 ID:GSPMA30Y.net
Cは余分な ; を1つの文として {} と同様に処理してくれるからなw

VHDLは余分な ; があるとエラー吐くから
コピペで書いても最後だけ例外的に注意必要
行順序を切り貼りで単純入れ替えしただけのエラーありがちww

309 :774ワット発電中さん:2014/02/23(日) 21:21:52.44 ID:x+AbegGM.net
>>298
いかにも虐めて欲しそうだw

仕事じゃなくて個人の趣味でやってるなら、{}で書いて適当なプリプロセッセサにでも
通して置換しろw
連接使えなくなるけど、使いたくなったら別の記述考えてそれも置換しろw

日本語コメントは大昔FPGAcompilerで食らった覚えがあるな。
そんときゃ漢字コードをEUCにしたら通ったっけ。適当なエディタでコード体系をいじって
食わせてみたら?

310 :arisa ◆QaHT6HayjI :2014/02/23(日) 21:39:58.18 ID:JLpCoUG7.net
>>298
そりゃ、最終的には電気回路に落ちるからな。

1クロックごとになにするかってことしかかけないよ。極端な話すると。

基本的にはという意味です(どうでもいい突っ込み防止用)

311 :arisa ◆QaHT6HayjI :2014/02/23(日) 21:41:31.48 ID:JLpCoUG7.net
コンパイラを自作すれば、苦労がわかるだろう

312 :774ワット発電中さん:2014/02/23(日) 23:39:01.24 ID:DqRc5d4O.net
「余分な」ってのが解釈を間違ってる。
空文は空文で、余分じゃない。

313 :774ワット発電中さん:2014/02/23(日) 23:41:01.12 ID:DqRc5d4O.net
というか言葉足らずだった。
VHDL(というか、Algol系の構文の)では、 ; が、ターミネータじゃなくセパレータだ、ってこと。

C言語で関数呼び出しの時、foo(a, b, c,) って余計なコンマ付けたらダメなのと同じ。

314 :774ワット発電中さん:2014/02/24(月) 00:31:10.75 ID:TEBkqiDh.net
if (a = 10) {}
には誰も突っ込まないんだな

315 :774ワット発電中さん:2014/02/24(月) 00:34:59.06 ID:lWKhU4Ie.net
Cなら処理系にもよるけど
struct xxx { int a; int b; int c; };
 @struct xxx y = { a=123, b=456, c=789 };
 Astruct xxx y = { a=123, b=456, };  ← 余計なコンマあり
たとえばGCCは記述@A両方とも許容しているので
改行位置調整して行コピペでソースを見やすくできることもある

ID:DqRc5d4Oが言語仕様なんだから受け入れろと言ってるのは分かるが
余計なセミコロン1つ付いても意味が変わる所でもないし
最適化すりゃ結果同じといえるので、コンパイラが許容して欲しいのさw

お前らも行コピペでエラー吐いたのを見て、机を蹴り上げたことあるだろw

316 :774ワット発電中さん:2014/02/24(月) 02:08:27.88 ID:/RaoVsHs.net
言語仕様相手にキレたことは無いが、営業が持ってきた追加仕様に
なら机を蹴り上げたことがあるな。

317 :774ワット発電中さん:2014/02/24(月) 02:19:15.04 ID:/6vZJclb.net
CとしてはAだろ、ケンとデニスの時から。

318 :774ワット発電中さん:2014/02/24(月) 06:01:50.89 ID:Zio26Xuo.net
>300
 呼んだ?
 俺は、AHDLで書いてXのコンバータでVに変換してる。書き方を工夫しないと
とんでもないコードを吐き出すが、すこし気をつければ綺麗なコードを吐く。
でだいたいできたところで殆ど動かん時は外注に出してデバッグさせる。WWW

319 :774ワット発電中さん:2014/02/24(月) 08:25:28.81 ID:Qt9i9at+.net
>316
オマエ、技術屋ではないだろ。
技術屋の机って、割り当てられた机は物置になってるから、蹴りあげるなんてムリ
足が机の下に1ミリだって入らない。

320 :774ワット発電中さん:2014/02/24(月) 09:02:27.53 ID:eeK4kXiS.net
>>304
> VHDLの表記方法の酷さ
Adaから受け継いだ、最も進んで美しいVHDLが理解できないとは…

321 :774ワット発電中さん:2014/02/24(月) 09:38:59.23 ID:KFrdb/Ag.net
>>315
だからそれは「余計な」コンマじゃないの。
言語の文法の構文規則を1回も見ずに構文に文句を言うなつーの。

322 :774ワット発電中さん:2014/02/24(月) 09:40:02.68 ID:KFrdb/Ag.net
>>314
コンパイルしてみたか?

323 :774ワット発電中さん:2014/02/24(月) 10:05:38.36 ID:eK3CEL4W.net
>>320
美しいからって実用的とは限らん

324 :774ワット発電中さん:2014/02/24(月) 10:23:33.98 ID:0hxTMst0.net
>>323
理解すれば全て必然の構文なんだよ。

「機能的に優れているものは美しい」

325 :774ワット発電中さん:2014/02/24(月) 10:43:50.99 ID:il3gyMJY.net
reg r_s, r_a;
wire w_in;

always@(posedge clk or negedge rstb) begin
r_s <= !rstb ? 1'h0 : w_in;
end
always@(posedge clk or negedge rstb) begin
if (!rstb) r_a <= 1'h0; else r_a <= w_in;
end

俺の使ってる "Veridi" はこの2つで、異なった回路を表示する(合成すれば結果は同じかもしれないけどな)
一方は同期リセット、他方は非同期リセットだ。
言語としてとらえたらツジツマが合わなくないか?

個人的にはソフトウェア的な意味での言語、という考え方はもう捨てたね
頭に描いた回路を吐き出させるための記号列ぐらいの考えだ。

326 :774ワット発電中さん:2014/02/24(月) 11:22:12.06 ID:F4sqRRYI.net
>>296
ツールで使うデバイス決めてるのか?
>>293 でも書いたけどXilinxはツールはクソだがデバイスは個人的にかなり好きだ

327 :774ワット発電中さん:2014/02/24(月) 11:22:45.94 ID:F4sqRRYI.net
>>325
その書き方では当然動作変わる
下は非同期リセットの定型だけど、上は危険な記述だな
FPGAなら合成出来ないな

>個人的にはソフトウェア的な意味での言語、という考え方はもう捨てたね
最初っからそういう物だ
ここを理解せずにプログラムと思って書くからこんな疑問が出てくるわけだ

328 :774ワット発電中さん:2014/02/24(月) 11:48:32.31 ID:il3gyMJY.net
だからそう言ってるるじゃん。
ソフトウェアも知ってるヤツなら、そういう疑問も出て来るのは至極自然

だけど、言語として美しいと どうとかか言ってるから、実例として挙げただけだ。

329 :774ワット発電中さん:2014/02/24(月) 12:27:57.63 ID:SSk4eX/e.net
Veridi とかいうツールが変なんじゃないの?
聞いたこともないよ。フリーツール?

330 :774ワット発電中さん:2014/02/24(月) 12:28:25.55 ID:KFrdb/Ag.net
だから、プログラミング言語じゃなくてハードウェア記述言語なんだから当然。

「言語=プログラミング言語」という謎の直結回路が脳内にあるおかしな人達とか、
ハードウェアがソフトウェアになると喧伝し続ける○級出版とか以外はみんなわかってる。

331 :774ワット発電中さん:2014/02/24(月) 12:33:42.44 ID:F4sqRRYI.net
>>328 スマソ
>ソフトウェアも知ってるヤツなら、そういう疑問も出て来るのは至極自然
これが危険なのよね。頭良いヤツならだんだん理解するけど…
Verilogは記法がCに似てるからソフト屋でも簡単♪とか喧伝する人達がガンなんだよな
彼らも商売だから仕方ないのかもしれんが

>>329
ググッてみな、俺もさっき初めて知ったけど

332 :774ワット発電中さん:2014/02/24(月) 12:46:08.55 ID:SSk4eX/e.net
>>331
SynopのVerdiしか出てこないよ

333 :774ワット発電中さん:2014/02/24(月) 13:31:09.80 ID:il3gyMJY.net
>>332

それだよ、前身のNovasの頃のヤツだからバージョンはかなり古いけどな
個人が買えるような値段じゃない。

334 :774ワット発電中さん:2014/02/24(月) 13:43:33.76 ID:il3gyMJY.net
>>331
>これが危険なのよね。

そう、 むしろ知識が豊富なヤツほど陥りやすい、その知識がかえって妨げになる。
そう言う物だと割り切る事が必要で、凝った記述は嵌る事になる。

335 :774ワット発電中さん:2014/02/24(月) 14:52:29.30 ID:jRedBoT3.net
結局、合成系を作るやつがサボってるだけにしか見えんけどな

336 :774ワット発電中さん:2014/02/24(月) 16:18:57.25 ID:q5EbD0M+.net
言語仕様が、ハードウェアを記述してるのかソフトウェアなのか、いまいち割り切れてないせい。

337 :774ワット発電中さん:2014/02/24(月) 18:21:40.65 ID:SSk4eX/e.net
Verilogは元々シミュレータ用の言語だったからね。
いちいち非同期リセットの記述とかさせんなよって思う。
あと、符号の扱いとかめちゃくちゃ。

338 :774ワット発電中さん:2014/02/24(月) 19:47:49.79 ID:Ch6shV02.net
>>326
ツールの癖とかであまりハマりたくないんだよね。
趣味なんでそんなにチップのスペックカツカツのもの作ったりするつもりもないし。

339 :774ワット発電中さん:2014/02/27(木) 10:33:43.15 ID:BQ4GXb0G.net
"Unconstrained Paths" って、身に覚えの無い赤い表示  が出るんで何だろう?と思ってたが、
これかよ・・・。 さすがに↓↓は判り難いだろ。 せめて該当信号名ぐらいは表示してくれよ。

altera_reserved_tck、altera_reserved_tdi、altera_reserved_tms、altera_reserved_tdo

340 :774ワット発電中さん:2014/02/28(金) 22:18:28.07 ID:4GmRt5dn.net
 200n位のディレイを作りたくてアルテラでLcellを10個くらい入れてみる
んだがうまくディレイが出来ない。どうも自動的に削除されているようだ。
ディレイを作る方法はないかなー?

341 :774ワット発電中さん:2014/02/28(金) 22:54:42.09 ID:RdjAJy7+.net
4,5年前にやったけど、やり方忘れた。

各LUTセル間の配線遅延がばらばらになるから
最終的にはチッププランナーでセルを8個単位で固定したのは覚えてる。

342 :774ワット発電中さん:2014/02/28(金) 23:28:09.99 ID:mDSzNJHP.net
クロックとFF

343 :774ワット発電中さん:2014/03/01(土) 05:37:38.64 ID:wskJvQHD.net
200ns遅らせたいならFFで遅らせるのが原則
ロジックだけだとコンパイルによって遅延量が変わるから無理
ザイリンクスなら完全にルート固定してやればロジックだけで出来ないことはないが
アルテラではルート固定なんてできない

344 :774ワット発電中さん:2014/03/01(土) 08:09:47.79 ID:8qkAgqYa.net
0.1ns程度の "Hold violation"
この程度なら、ツール側で遅延挿入でもして対応してくれてもいいと思うけど、たまに出るな。
レポートがいい加減かと言うとそうでもなくて、
実動作での異常が出る事多いんでレポート自体は正確のようだし・・・

この辺の、ツール対応の切り分けがよく解らんな。

345 :774ワット発電中さん:2014/03/01(土) 20:38:03.26 ID:13Hc013y.net
AlteraのFPGAに遅延素子ってなかったっけ
XilinxのIDELAY/ODELAYみたいなの

346 :774ワット発電中さん:2014/03/01(土) 21:03:35.75 ID:wskJvQHD.net
IOのとこに遅延素子はあるかもしれんが
200nsなんてどでかいディレイは無理だ

347 :774ワット発電中さん:2014/03/01(土) 21:17:08.39 ID:WgDbeBz9.net
Helioの評価ボード買えるとこないんかな個人で

348 :774ワット発電中さん:2014/03/02(日) 03:35:16.31 ID:ysVmWKxy.net
>>314
文法的には合ってるじゃん。

349 :774ワット発電中さん:2014/03/04(火) 22:41:16.13 ID:ZJBzcTlX.net
>>344
そうそう。遅延追加するためにLUTはさむくらいなんてことないように思うのだけど、なんでしてくれないんだろうね。

350 :774ワット発電中さん:2014/03/04(火) 23:18:32.33 ID:nUkLa717.net
タイミング解析の仕組み考えれば?

351 :774ワット発電中さん:2014/03/05(水) 06:24:57.16 ID:J0d/6Umg.net
>>349
レポート見ると、クロックスキユーが凄いんだよな。(例えば3〜4nsとか)
グローバル・クロックを使用してればスキューは小さいものだと思ってたけど、どうもそうでもないようだ。
例えば↓みたいなヤツで、 rB に対して"Hold violation"を出されたりで 結構ウンザリする。

reg rA, rB;
wire wIn;

always @(posedge clk) begin
rA <= wIn;
end
always @(posedge clk) begin
rB <= rA;
end

352 :774ワット発電中さん:2014/03/05(水) 15:27:22.78 ID:GXRQV8K7.net
チップ面積がでかいから、もうグローバルクロックだけに頼るのは無理な状態だよね。
というか数10mm角まで良く保ったな、という気がする。
速度の制限になってるのはトランジスタの速度じゃ無く配線遅延だし。
新しいFPGAではリージョンクロックが工夫される、なんて話も聞いてる。
FPGAでもチップレイアウトを考えて作ることになるんだろうなぁ。

353 :774ワット発電中さん:2014/03/06(木) 19:49:01.99 ID:XSVSollw.net
XilinxのFPGAやCPLDのライターで手頃な物や自作出来るものはないでしょうか?
純正品は高くて手が出ません・・・

http://eleshop.jp/shop/g/gA85412/
できればでいいのですが、共立でこんなものを見つけたのでこれに対応してるものがいいです。

354 :774ワット発電中さん:2014/03/06(木) 19:55:43.52 ID:BRUq6+Fo.net
パラレルポート用なら自作できるでしょ

355 :774ワット発電中さん:2014/03/06(木) 20:44:56.93 ID:4CH49coA.net
>>353
知っている中ではこれが一番安いが、CoolRunner-IIに使えるかは調べてない。
デジレントはザイリンクスの評価ボードに使われているから信頼できると思う。
JTAG-HS1
ttp://strawberry-linux.com/catalog/items?code=21207

あなたの用途ではこれで十分だと思うが、古いケーブルだ。新しいのは JTAG-HS2

ttp://strawberry-linux.com/catalog/items?code=21214

356 :774ワット発電中さん:2014/03/07(金) 03:16:40.81 ID:UXQ8emv3.net
>353
こういうのはどうでしょ?FT232 側の VIO は 3.3V にしなきゃ駄目でしょうけど。
http://www.hmwr-lsi.co.jp/fpga/fpga_1.htm

357 :774ワット発電中さん:2014/03/07(金) 18:18:53.05 ID:rX7o8VO1.net
854 名前:名無しさん┃】【┃Dolby[sage] 投稿日:2014/03/07(金) 12:54:14.90 ID:w9n1Ku5o0
http://www.fullhd.gr/images/stories/2013/Teardown/SamsungUE65F9000/Samsungue65f9000-open-fullhd.gr.JPG

サムスンの4Kばらしたら
FPGAがでてきた

358 :774ワット発電中さん:2014/03/07(金) 18:54:44.51 ID:YEsqjde8.net
>>357
スゲー

Sony のBDレコーダにも入ってたよ。
アナログの配線が滅茶苦茶で笑えたけど。

359 :774ワット発電中さん:2014/03/07(金) 20:44:40.76 ID:j3Ag5dBy.net
そういえばFPGAは韓国でも作られていたね

360 :774ワット発電中さん:2014/03/07(金) 21:55:41.97 ID:rX7o8VO1.net
一個だけどいっちゃん安いやつで15万しててワロタ

http://www.digikey.jp/product-detail/ja/XC7K325T-1FFG900C/122-1809-ND/2767546

361 :774ワット発電中さん:2014/03/07(金) 21:58:05.94 ID:rX7o8VO1.net
12万だった
http://www.digikey.jp/product-detail/ja/XC7K325T-1FBG900C/122-1805-ND/3587878

362 :774ワット発電中さん:2014/03/07(金) 22:13:12.06 ID:Dlrn10Mv.net
小売の値段で比べても…

363 :774ワット発電中さん:2014/03/07(金) 22:28:27.71 ID:T9NY9J9x.net
サムソン製のパチモンだろ

364 :774ワット発電中さん:2014/03/07(金) 22:36:18.52 ID:j3Ag5dBy.net
サムスン、米ザイリンクスのFPGAを受託製造[IT]
http://nna.jp/free/news/20090204krw009A_lead.html
有料じゃないと記事が読めません

365 :774ワット発電中さん:2014/03/07(金) 22:48:36.48 ID:rX7o8VO1.net
いや上の画像のやつ拡大すればわかるけど
TAIWANって書いてる
TSMCだと思う

366 :774ワット発電中さん:2014/03/08(土) 10:56:05.64 ID:woKe0O6q.net
>>357
うおw
テレビはもうFPGAか・・・。

367 :774ワット発電中さん:2014/03/08(土) 11:24:09.05 ID:mK3x1Yne.net
しかも高級なKintexとは

368 :774ワット発電中さん:2014/03/08(土) 12:26:56.70 ID:JpHBkTsk.net
Spartanシリーズ復活してくんないかなぁ。
7シリーズはArtixですら高い。

369 :774ワット発電中さん:2014/03/08(土) 12:50:10.47 ID:4SrwDydz.net
趣味電子工作だけど
XilinxとAlter両方試してみて、Xilinxは選ぶ利点が少ないな。
しいて上げれば
 小クラスのものでQFPパッケージが存在する
 I/OがTMDS対応が有り、外付け無しで外部と直結できる
ぐらいしか思いつかん。

もっとも、基板まで起こすほどじゃないから ボード・レベルで選んじゃうけどな
やっぱり、安いAlteraに軍配が上ってしまう。
(ディスるつもりなど毛頭無いんで変なレスは付けんなよ。 素直な感想だ)

370 :774ワット発電中さん:2014/03/08(土) 13:32:31.94 ID:aRXbIeIB.net
べつに趣味でbgaなんて考えたくもないから、充分な理由じゃないか?

371 :774ワット発電中さん:2014/03/08(土) 16:43:45.00 ID:9ElC8yLw.net
基板起こしてもBGAじゃ手付けできないしね

372 :774ワット発電中さん:2014/03/08(土) 17:26:03.96 ID:B1B2nTYj.net
オーブンとかホットプレート操作して温度プロファイル作って付けてる人いるけどね。

373 :774ワット発電中さん:2014/03/08(土) 18:57:03.97 ID:67Qa5TNW.net
変なレスがいっぱい付いてて

374 :774ワット発電中さん:2014/03/08(土) 19:36:48.99 ID:aRXbIeIB.net
ホットプレートまで行くと流石変だな。

375 :774ワット発電中さん:2014/03/08(土) 19:47:34.58 ID:4SrwDydz.net
Xilinxもそうだけど、AlteraもCyclone1/2/3の辺りまでは、240PinぐらいのQFPもあったよな確か?
最近のはBGAオンリーになってしまったから、趣味の範囲だと厳しいな。

376 :774ワット発電中さん:2014/03/08(土) 20:02:20.70 ID:e/KgIL5K.net
以下、BGAをひっくり返してハンダ付け禁止w

377 :774ワット発電中さん:2014/03/08(土) 20:23:20.91 ID:cN7M2f2Y.net
テレビにFPGAって事は電子銃とか偏向ヨークなんかの電流を
制御するというアナログ的なことをFPGAが出来る様になったってこと?
FPGAもずいぶん進化したもんだな。

378 :774ワット発電中さん:2014/03/08(土) 20:25:32.51 ID:e/KgIL5K.net
ネタとしては面白く無いです。;;

379 :774ワット発電中さん:2014/03/08(土) 20:30:56.64 ID:toGGuV8u.net
>>376
あのピン数に半田付けするのも根性もんだけど、それで
何MHzくらいまで動くんだろう?

380 :774ワット発電中さん:2014/03/08(土) 21:30:20.78 ID:JDBb9eX7.net
>>377
おまえん家のテレビはまだブラウン管か?

381 :774ワット発電中さん:2014/03/08(土) 22:04:55.61 ID:mYqFDhtH.net
うちはブラウン管やで
SHARPのでVHSを再生できるやつな
なんとDVDも再生できるスグレモノだ

382 :774ワット発電中さん:2014/03/08(土) 22:18:38.95 ID:aRXbIeIB.net
>>379
オナニーに安定を求めてもな…

383 :774ワット発電中さん:2014/03/08(土) 22:24:24.94 ID:7+JDIiqC.net
>>379
IOは全部非同期的にやっちゃえばあとはクロックだけだから適当にいけるんじゃない?

384 :774ワット発電中さん:2014/03/08(土) 22:36:55.11 ID:8RYPeweF.net
ツマンネ

385 :774ワット発電中さん:2014/03/09(日) 08:33:33.65 ID:Zc0lGeoX.net
うちはバズーカや、音すげー

386 :774ワット発電中さん:2014/03/12(水) 13:09:57.09 ID:jjJWhX94.net
【俺メモ】
Quartus IIでフォントにMSゴシックを設定できない。

設定ファイルは、 "%HOMEPATH%\quartus2.qreg"
日本語フォント名が16進表記のUTFで記述されてるので、その部分を探して英語のフォント名に変える。

"MS ゴシック" ↓ -> "MS Gothic"
"\xff2d\xff33 \x30b4\x30b7\x30c3\x30af" -> "MS Gothic"

387 :774ワット発電中さん:2014/03/13(木) 00:16:31.57 ID:0+0W944V.net
XってAと比べて高いよなあ
こんな殿様商売して事業やってけてんの?
ツールの性能もAのがいいし(Vivadoは知らんが)
X選ぶ理由が正直ないよ

388 :774ワット発電中さん:2014/03/13(木) 00:18:04.00 ID:Ib+dP46E.net
デバイス規模

389 :774ワット発電中さん:2014/03/13(木) 00:21:09.43 ID:0+0W944V.net
あ、一応トランシーバー系はXの方が性能いいんだっけ?
トランシーバーデバックツールはAのが充実してるけど
高速IOは強いってことで通信系機器ではXの方が主流なのかなあ?
組み込みはもうAしか選択肢がないよ

390 :774ワット発電中さん:2014/03/13(木) 00:29:57.17 ID:o0XTtEZo.net
Xはもうダメだな。
選ぶ理由がない。

391 :774ワット発電中さん:2014/03/13(木) 01:04:37.36 ID:crH7B5rn.net
ちょっと前までは安いボードはXばかりなんでXの方が安いのかと思ってたけど違うんか。
まぁ確かにAの話ばかり聞くけど広告しまくってるだけかと思った。

392 :774ワット発電中さん:2014/03/13(木) 01:24:36.46 ID:jaw4M38z.net
>>386
エディタでIMEの日本語入力の変換がおかしくなるの治らん??

393 :774ワット発電中さん:2014/03/13(木) 02:01:13.34 ID:BGXJoQ0o.net
石の値段は特価取れるかどうかであほみたいにかわるからなぁ...
個人的には、A5のエラッタがでかいから今のところXの7系の方がマシな感じ...

394 :774ワット発電中さん:2014/03/13(木) 06:43:57.33 ID:StApmt6F.net
>392
入力自体は外部のエディタしか使わないんで、悪いが知らん。
固定ピッチのフォントが選べず、表示でインデントが崩れるのが不便だったからな
>>386 は、その対処。

395 :774ワット発電中さん:2014/03/15(土) 10:32:41.89 ID:1137fGcH.net
ハードCPU入りのFPGAで、CPU側に繋がっているDRAMなんだが。
CPUを全く使わない前提でも、FPGA側からはアクセスできない
ような説明を見かけたけど、この理解で合ってる?

例えば、DE1-SoCのHPS側に繋がってるx32のDDR3はFPGAからは使用不可とか。
XilinxのZYBOとかも同じかな?

俺的には、外部 DRAMが2個使える物が欲しいんだよな。

396 :774ワット発電中さん:2014/03/15(土) 10:55:56.59 ID:JSg5+q3B.net
間違い

397 :774ワット発電中さん:2014/03/15(土) 11:31:29.08 ID:tONfcd9T.net
ちゃんとCPUとGPUで共有できるようなアービタがハードマクロで実装されてるよ。

398 :774ワット発電中さん:2014/03/15(土) 12:45:55.96 ID:5gsp3e8C.net
ハードマクロ側の「ピン」は使えません、って話を勘違いしたんで無い?

399 :774ワット発電中さん:2014/03/15(土) 13:18:07.23 ID:1137fGcH.net
cv_51001_j.pdf 見てたんだけど・・・、 こっちか? -> cv_54008.pdf 

Xiも、似たようなもんかな?

400 :774ワット発電中さん:2014/03/15(土) 17:44:50.25 ID:SeVBmk46.net
>>357
え?ちょwwどゆこと?
テレビにFPGA????もうそんな時代になったの?

401 :774ワット発電中さん:2014/03/15(土) 18:32:12.33 ID:WsP1tamS.net
あり得るよ、ASICなんて、足が長すぎる。

402 :774ワット発電中さん:2014/03/15(土) 19:32:33.89 ID:g1V5N9l3.net
>>399
CycloneVならcv_54001のFigure1-2とcv_54008のFigure8-2から
FPGAからSDRAMが使えるのは明らか
他のデバイスなら知らんがたぶん同じだろう

403 :774ワット発電中さん:2014/03/15(土) 21:08:31.47 ID:HaweeEwG.net
>>401
BGAはいやだよな

404 :774ワット発電中さん:2014/03/16(日) 00:18:06.62 ID:dYF7Zz3j.net
>>400
だいぶ昔、Spartan6が品不足になったのはとある液晶TVに採用されたから、
という話もあるよ。5〜6年前か?

405 :774ワット発電中さん:2014/03/16(日) 01:05:18.87 ID:4syajmpX.net
>>400
テレビにFPGAはふつーに使われてるよ

デジタル放送初期に、結構規格がグシャグシャの時期があって
特に地上デジタル開始当初(というか開始前)あたりはコピ10どうするかとか
政治的に規格が動きまくってたから、放送波によるアップデートを前提とした作りを
ARIBも推奨してた

んで、その頃からFPGA使われ始めて、最近では規格は安定してきたけど製品寿命が短くなって
ASICじゃサイクルが合わなくなってきたからFPGA使うのが定着してきた感じ

406 :774ワット発電中さん:2014/03/16(日) 09:14:48.85 ID:V49Fq5K+.net
CycloneVのVREFピンは、I/Oとして使えないんだな。
IVまでのノリでやってたら、アサイン出来なくて焦ったw

407 :774ワット発電中さん:2014/03/17(月) 01:44:00.17 ID:XYE1HzFf.net
>>395
Zynqの事かな?
ZynqだとCPU boot前提なのでCPU動かさないと始まらない
DRAMはTrusrZoneでセキュアに設定されてたハズなので
CPUで解除しないとFPGAからアクセス出来ない

408 :774ワット発電中さん:2014/03/17(月) 02:15:01.23 ID:qsfzaiof.net
コンフィグ設定でかえられるよ

409 :774ワット発電中さん:2014/03/18(火) 12:18:49.98 ID:djAH2bOC.net
サムスンは有機ELの流れを作りたかったんだけど
有機ELの歩留り悪くて東芝ソニーが4Kの流れ作ってあわてて4K準備した
その結果が高価なFPGA

410 :774ワット発電中さん:2014/03/18(火) 13:51:32.74 ID:8PtlYNQ2.net
>>409
4Kってそんなに売れてるかい?
月産100台とかだったら、あわててなくてもFPGAしか選択肢がないと思うが。

411 :774ワット発電中さん:2014/03/18(火) 22:12:09.06 ID:IcAbS2CL.net
ってかテレビにFPGAなんて今更どうこう言う話じゃないだろ…

5年前だとまだ珍しかったかも知れないが

ザイリンクス社の Spartan-3 ジェネレーションFPGA を東芝が最新の液晶テレビに採用
ttp://japan.xilinx.com/japan/j_prs_rls/2007/design_win/0306_toshiba_j.htm

412 :774ワット発電中さん:2014/03/18(火) 22:13:32.57 ID:IcAbS2CL.net
5年じゃなくて、もう7年前の話になったのか…

413 :774ワット発電中さん:2014/03/18(火) 23:18:23.26 ID:GeXqanXF.net
知ったかだから放っておけば

414 :774ワット発電中さん:2014/03/19(水) 12:57:40.31 ID:E6JyPXAy.net
>>410
4KソースがないからFHDソースをアプコンしないといけないんだけど
その辺のノウハウが溜まってないから
ASICもってなかったんだよ<サムスン
東芝ソニーの4KにはFPGAは乗ってないハズ

415 :774ワット発電中さん:2014/03/19(水) 18:56:31.18 ID:bAE8xyGN.net
4kは8kまでのほんの一瞬のつなぎなのかな?
BDも含めて4k立ち上げてからまた8kに向かうの?
8kどーこーいってるのは日本だけ?
8kは3Dじゃなくても立体感を感じるらしいが4k動画はどーなん?

416 :774ワット発電中さん:2014/03/19(水) 22:14:04.66 ID:KkIs2Be9.net
FPGAって、あんなに高密度で多機能ですが、
全機能を全数検査で出荷しているのでしょうか?

417 :774ワット発電中さん:2014/03/19(水) 22:29:45.98 ID:462uCSW9.net
>>416
むしろ単機能じゃね?

418 :774ワット発電中さん:2014/03/19(水) 23:09:26.96 ID:QSLlJrok.net
アナログを除けばただのRAMとFFとLUTの塊だからな
スキャンでおしまいだな

419 :774ワット発電中さん:2014/03/19(水) 23:23:54.21 ID:qNLYbFn7.net
全機能を全数検査で出荷だろうね。
テストパターンは恐ろしく楽そうだから。

420 :774ワット発電中さん:2014/03/20(木) 01:19:58.37 ID:AaFQIeiv.net
いかに少ないパターン数で検査するかっていう論文見たことある

421 :774ワット発電中さん:2014/03/20(木) 01:37:28.67 ID:fD6KSXSq.net
一方、中国は検査おっけーシールを貼るだけで済ませた

422 :774ワット発電中さん:2014/03/20(木) 08:38:07.00 ID:7YNC8WgU.net
>>415
映画は4K、TVはSHVとNHKは考えてる
まぁ当面の機材は4Kで揃えてるが
SHVそれなりに綺麗だったけどフルスペックの
カメラもパネルも無いので今後に期待かな

423 :774ワット発電中さん:2014/03/20(木) 08:41:03.50 ID:7YNC8WgU.net
>>420
使う部分だけテストして安く売るのはXだっけ
あれはどの位需要あるんだろ

424 :774ワット発電中さん:2014/03/20(木) 23:10:13.32 ID:eB5Qvw2W.net
>>423
それ、もうやってないよ。

425 :774ワット発電中さん:2014/03/20(木) 23:32:37.95 ID:GFPU7DUw.net
やってるよ

426 :774ワット発電中さん:2014/03/24(月) 16:22:02.85 ID:ENFBvNvh.net
>>410
東芝がFFSA(フィットファストストラクチャドアレイ)ってやつのサービス始めるから
FPGAは家電には乗らなくなるぽいよ
http://www.semicon.toshiba.co.jp/eng/product/asic/type/sa/index.html
http://www.semicon.toshiba.co.jp/profile/news/newsrelease/asic/topics_140319_j_1.html
http://www.baysand.com/

427 :774ワット発電中さん:2014/03/24(月) 20:50:39.06 ID:YUnwVJo9.net
TATが短いASICだ!ってのは昔からある売り文句な訳だけど
所詮はカスタムASICだからFPGAの代替は無理

428 :774ワット発電中さん:2014/03/24(月) 22:20:59.87 ID:g6O3Mj0o.net
>FPGAは家電には乗らなくなるぽいよ
なんでそういう判断になるんだよwww
東芝のFFSAと、Xi,寺のFPGAの関係は?
このFFSAってのはむしろお気軽ASIC的な位置づけだろ。

429 :774ワット発電中さん:2014/03/24(月) 23:11:13.29 ID:H9tw+vFT.net
65nmしかないってCyclone3と同レベルかよ
意味ねーな

430 :774ワット発電中さん:2014/03/24(月) 23:24:30.72 ID:SSxxsrEw.net
Fit Fast Structured Arrays (FFSA ) can be configured by customizing only a few metal layers.
ってmask programmable gate arrayとどうちがうんだ?

431 :774ワット発電中さん:2014/03/25(火) 00:02:10.70 ID:48Oopp/I.net
フリップフロップさ

432 :774ワット発電中さん:2014/03/25(火) 06:46:08.20 ID:cNJYhGkz.net
FFSAの元になってるのがこれか
LSI「TeneX」
http://techon.nikkeibp.co.jp/NEAD/focus/marubun/01.html
http://www.marubun.co.jp/product/semicon/baysand/
AlteraXilinxピン互換アリ
http://eetimes.jp/ee/articles/1211/22/news111.html

433 :774ワット発電中さん:2014/03/25(火) 09:28:09.70 ID:DCdpT1tM.net
>>429
Virtex で何作ってるんだよ。
何個LEDピカピカできるんだよ。

434 :774ワット発電中さん:2014/03/27(木) 14:04:29.43 ID:9rvFe8ge.net
IntelとAltera、14nmプロセスのマルチダイSoC開発で協業
〜DRAM、ASIC、アナログコンポーネントを1つのSoCに封入
http://pc.watch.impress.co.jp/docs/news/20140327_641569.html

FPGA/SoCに、DRAM、SRAM、ASIC、プロセッサ、アナログコンポーネントといった
異なるダイを1パッケージとして封入し、Alteraの異性マルチダイ間接続技術で接続する。

435 :774ワット発電中さん:2014/03/28(金) 00:21:40.62 ID:8+Km2wty.net
QuartusII 13.1のMegawizardで、Altera PLLが作れないよー

436 :774ワット発電中さん:2014/04/03(木) 22:26:06.39 ID:aOvasWqB.net
MAX V with LVDS

437 :774ワット発電中さん:2014/04/07(月) 23:41:43.58 ID:+nIUHT8v.net
>>426
東芝ってFabビジネスに力入れるのか? なんかいまさらって感じだが

438 :774ワット発電中さん:2014/04/08(火) 08:12:09.88 ID:7XdFt3j1.net
>>437
数少ない国産なんだから、応援しろや。
(応援するだけで買わないけど)

439 :774ワット発電中さん:2014/04/08(火) 11:40:19.87 ID:LD73fZ2t.net
>>当社は、新規製品群であるFFSA? (Fit Fast Structured Array)のウェハー製造をグローバルファウンドリーズ社へ委託します。

440 :774ワット発電中さん:2014/04/08(火) 20:16:50.23 ID:YEPcItfK.net
>>439
中継ぎ業者かよ

441 :774ワット発電中さん:2014/04/08(火) 23:03:11.69 ID:hw1JVeBH.net
さすがにマスク設計くらいはするんじゃね?

442 :774ワット発電中さん:2014/04/09(水) 00:08:27.95 ID:arTyfO1j.net
Fit Fast Structured Arrays (FFSA
http://www.semicon.toshiba.co.jp/eng/product/asic/type/sa/__icsFiles/artimage/2013/04/25/cprjaa00000/img_StructuredArrays_01.jpg

・FPGAよりユニット安い
・FPGAより省電力
・FPGAより速い
・ASICより市場投入が早い
・ASICより設計コストが安い

FPGA()ASIC()

443 :774ワット発電中さん:2014/04/09(水) 01:47:38.18 ID:yFVYF4Al.net
hardcopyとかと同じ量産時置き換えなんだろうけど、何個ぐらいでイニシャル分をペイするんだろ

444 :774ワット発電中さん:2014/04/09(水) 07:18:03.67 ID:UgjXKjJb.net
FAQ:

What is minimum volume for migrating from FPGA to FFSA?
(何個でペイしますか?)

The business criteria for each design opportunity will be evaluated on its own merits, and mutually agreed by both parties.
(ご相談ください。)

FAQになってねぇ

445 :774ワット発電中さん:2014/04/09(水) 07:25:53.03 ID:ojW4tp/b.net
金じゃなくてASICにしたときのテスト作りが半端ないので普通はあきらめる。

446 :774ワット発電中さん:2014/04/09(水) 09:23:14.09 ID:QH5yTQPe.net
>>442
TTMの点数差がおかしい

447 :774ワット発電中さん:2014/04/09(水) 13:36:22.11 ID:arTyfO1j.net
http://eetimes.jp/ee/articles/1211/22/news111.html
年間1万個以上ならFPGAよりも“お得”に

448 :774ワット発電中さん:2014/04/09(水) 15:05:19.78 ID:lcEvVfbn.net
1万個って100X100個で見ると少ないような気がしたり

449 :774ワット発電中さん:2014/04/09(水) 15:14:20.75 ID:Pq3W296c.net
1マンコって書くと少ないような気がしたり

450 :774ワット発電中さん:2014/04/10(木) 00:41:40.29 ID:2WP5MODb.net
>442
ISSPみたいなもんか

451 :774ワット発電中さん:2014/04/10(木) 02:09:39.67 ID:F28TOPcX.net
ゴミ捨て場に落ちてた基板にep3c120が載ってたが
同じものをもう一枚拾えないと使えないなあ
しかたないからつんどくか

452 :774ワット発電中さん:2014/04/12(土) 17:45:34.95 ID:iKQ0HdXe.net
Virtex5はもう時代遅れでしょうか?

453 :774ワット発電中さん:2014/04/12(土) 23:08:59.13 ID:e+ynGPAI.net
用途次第じゃない?
新規設計で選ぶ状況は減ってるとは思う

454 :774ワット発電中さん:2014/04/13(日) 22:55:43.46 ID:Z9+A+3uM.net
niosIIのRS232通信用のいいcコード誰か持ってない?
持ってる例のコードはコンフィグROMから起動した時に挙動がおかしいんだが

455 :774ワット発電中さん:2014/04/18(金) 18:38:05.15 ID:H8OjC9Kt.net


456 :774ワット発電中さん:2014/04/18(金) 20:37:04.47 ID:i5atEJYC.net


457 :774ワット発電中さん:2014/04/19(土) 03:42:48.44 ID:IsQy/Q5G.net


458 :774ワット発電中さん:2014/04/19(土) 05:45:37.06 ID:AUU0lPQ0.net


459 :774ワット発電中さん:2014/04/19(土) 08:33:51.76 ID:oQ1gUGf4.net


460 :774ワット発電中さん:2014/04/19(土) 15:03:02.13 ID:8pRJmokS.net


461 :774ワット発電中さん:2014/04/19(土) 15:24:39.21 ID:a7Clee01.net


462 :774ワット発電中さん:2014/04/19(土) 15:35:17.23 ID:mMM0Jk/f.net


463 :774ワット発電中さん:2014/04/19(土) 17:20:30.23 ID:oQ1gUGf4.net


464 :774ワット発電中さん:2014/04/19(土) 18:24:09.13 ID:9OSEgY51.net


465 :774ワット発電中さん:2014/04/19(土) 19:33:37.65 ID:+PkGDaav.net


466 :774ワット発電中さん:2014/04/19(土) 20:22:49.03 ID:PeN067xY.net


467 :774ワット発電中さん:2014/04/19(土) 20:32:27.50 ID:cx/9GKAZ.net
なにこれ

468 :774ワット発電中さん:2014/04/20(日) 00:34:04.63 ID:9bt8ZrX0.net
なんでしょね。

469 :774ワット発電中さん:2014/04/20(日) 07:33:56.91 ID:NP4ECvVU.net
あいさつするならともだちんこ♪

470 :774ワット発電中さん:2014/04/21(月) 08:36:08.80 ID:J8Lf536x.net
>>467
つまんねぇ奴

471 :774ワット発電中さん:2014/04/21(月) 23:34:48.38 ID:MrJSAHsX.net
>>455-467 つまらない

472 :774ワット発電中さん:2014/04/22(火) 00:29:19.14 ID:nFuv8wBC.net
本人は面白いつもりなんだろ

473 :774ワット発電中さん:2014/04/22(火) 19:19:29.82 ID:cld2DE37.net
Latticeのページに行ったらMACH3/4の書き込みツールは置いてあるんだけど、
MACH2の書き込みツールが見つからない。MACH2に書きたい場合ってどうすりゃ
ええの?

474 :774ワット発電中さん:2014/04/22(火) 22:04:55.30 ID:2t0WihiK.net
古いispVMsystemなら対応してるかも。
ただし、USBケーブル使えるかわからんけど。
Latticeって旧バージョンのソフト置いてないのかな?

475 :774ワット発電中さん:2014/04/22(火) 22:37:26.45 ID:iHyOvZc9.net
XilinxのISE WebPack使ってます。
Synthesizeした後の、View RTL Schematicをつかって回路図を見てます。
自分の回路の設計が悪いのか、COUNTERとかモジュールの一部が結線されてないように見えます。
これって単に図で書いてないだけで実はつながっているのでしょうか?
それとも記述に誤りがあるから配線不要と思われて配線されてないのでしょうか?
ちゃんと配線する必要がある線はちゃんと描画され、省略されることなどないはずですよね?

よろしくお願いしますん。

476 :774ワット発電中さん:2014/04/23(水) 00:19:44.01 ID:jHumhW/D.net
シミュレーションしてみたら?

477 :774ワット発電中さん:2014/04/23(水) 04:28:10.62 ID:+cg2B/VR.net
>>475
その回路図は、そんなもんだよ。
配線が書かれないことが当たり前の、変な回路図。
見ているとイライラしてくる。だから、見ないようになった。
Quartusの回路図は優秀。ちゃーんと書いてくれる。

478 :774ワット発電中さん:2014/04/23(水) 05:09:28.06 ID:FdndFBC0.net
>>475>>475
ありがとうございます。
やっぱりそうだったんだ。
何週間も悩んでしまったw
サンクスです!

479 :774ワット発電中さん:2014/04/23(水) 05:11:11.02 ID:FdndFBC0.net
間違えました。。失礼しました
>>477さんありがとうございました。

480 :774ワット発電中さん:2014/04/23(水) 11:43:22.09 ID:ZKr4vdcn.net
★TERA RMT 激安販売中★  
業界で安心利用でキルサイトです!!!
顧客第一、安心、迅速、丁寧な対応が私達の宗旨です。    
趣味がありましたら、検索サイトで
「TERA RMT OP」を検索してくださいますようお願いいたします。

他所から低い価格を発見したら、ご相談ください。確認した後、できる限り調整いたします。 調整できない場合もありますが、どうぞご遠慮なく相談してください。
弊社ホームページ :で随時、在庫状況を確認できますので、どうぞ、ご利用ください

481 :774ワット発電中さん:2014/04/27(日) 15:47:40.73 ID:RfazcQwH.net
キルサイトてのは仕掛け人みたいなことしてくれるサイトなのかな?

482 :774ワット発電中さん:2014/04/27(日) 22:06:28.23 ID:+5YNZ4az.net
必殺仕事人?

483 :774ワット発電中さん:2014/04/28(月) 00:10:39.36 ID:x7pTmvZq.net
怨み屋本舗でしょ

484 :774ワット発電中さん:2014/04/28(月) 20:21:31.09 ID:WIz6/HPJ.net
2ちゃんねるなんて警察も当然チェックしてるだろうに
よくそんなの書き込めるな

485 :774ワット発電中さん:2014/04/29(火) 17:56:09.20 ID:icEVZMo/.net
これはひどい

486 :774ワット発電中さん:2014/05/02(金) 15:57:23.23 ID:0iXV7d9O.net
TERA のゴールド人気販売中!

激安販売、取引迅速、最高品質!

非常にお得な!そのいいチャンスを見逃さないでくださいよ^^

「TERA RMT OP」を検索してください^^

販売ページ:p.tl/dbFn

487 :774ワット発電中さん:2014/05/03(土) 22:43:14.15 ID:ofT04TTD.net
イベント用にプロポーズ大作戦の
フィーリングカップル5vs5の制御回路つくるんだけど、
あの時代に一体どーやってこれ作ったんだろ?1973年て
CPUの方がいいかな?

488 :774ワット発電中さん:2014/05/03(土) 22:50:20.69 ID:vsUtt0ZH.net
>>487
あの時代ならリレー回路じゃないかな

489 :774ワット発電中さん:2014/05/03(土) 23:01:15.26 ID:+FL3qaGq.net
CLPDって書いた内容を読めるんですか?

490 :774ワット発電中さん:2014/05/04(日) 00:39:51.98 ID:odB0Anj6.net
>>488
あれには中の人がいてだな・・・

491 :774ワット発電中さん:2014/05/04(日) 00:43:02.87 ID:uHJ8ez2U.net
>>487

その時代なら、ディスクリートでRTL相当の回路を組むのが楽そうな気がする。

492 :774ワット発電中さん:2014/05/04(日) 02:33:31.32 ID:yDMHxn9y.net
あれ、あの机一面が電球アレイだったよな。
全部点灯したら何Wなんだ?

493 :774ワット発電中さん:2014/05/04(日) 09:20:19.90 ID:yDMHxn9y.net
>>491
1973年ぐらいで、テレビ局の大道具とか、そこから受注制作してるような町工場みたいなとこが、
ロジックIC使ってああいうの作れるんかな?
家電製品のスイッチとかでも機械スイッチばっかりでフェザータッチスイッチすらまだなかったんじゃないか?
デジトラすら広く使われてなかったんじゃないかな?テレビのchももまだ機械式のロータリースイッチだろ。

494 :774ワット発電中さん:2014/05/04(日) 10:07:54.70 ID:4vSSyRZC.net
テレビ局なんて金が沢山有るから東芝に受注ぐらいは出来る

495 :774ワット発電中さん:2014/05/04(日) 10:09:15.70 ID:LsT89cSE.net
フリップフロップくらいは作れただろうからシフトレジスタでやってたんじゃね?

496 :774ワット発電中さん:2014/05/04(日) 10:41:03.34 ID:wannbBmt.net
TTL7400シリーズが1966年だから、
73年なら日本にも入って来てて普通に使えたと思うな

デジトラの方は1982年だから、それよりかなり後
抵抗を内蔵しただけで、少しでもケチりたい以外には大したメリットも無いからな。

497 :774ワット発電中さん:2014/05/04(日) 12:07:21.09 ID:yDMHxn9y.net
>>494
いやー、大手メーカーはたった一台のテレビのセット制作のために人をアサインして受注なんて受けないよ。
だいたいどの部署に投げるんだって話になる。
担当させられるエンジニアも、将来のキャリアにつながらないその場限りの開発なんてめっちゃ迷惑
NTTのトラックみたいに将来のビジネスの芽なら話は別だけどさ
撮影機材受注したくて受けたとしても東芝経由下請け町工場へ押しつけるな。

498 :774ワット発電中さん:2014/05/04(日) 12:49:27.17 ID:4vSSyRZC.net
>>497
当時の下請けが出来る仕事だったのかが問題なわけで

499 :774ワット発電中さん:2014/05/04(日) 14:07:38.11 ID:ipfH05IF.net
例えばあの装置、どのようにしてカップル成立を判定しているんだろう。
まずスイッチは、5個の排他スイッチで、1つ押せば保持し、他の4つがoffになる。
5人×5人で120の組み合わせがある。
2つを接続する回路基板を120枚作れば良いのかな。

500 :774ワット発電中さん:2014/05/04(日) 14:15:04.48 ID:xOfHEAlv.net
>>499
ロータリスイッチつかって
スイッチONの状態をシフトレジスタで伝播でよくね?

501 :774ワット発電中さん:2014/05/04(日) 14:19:42.27 ID:xOfHEAlv.net
排他スイッチはラジカセでもできてたはずだから形状はどうでもいいか

502 :774ワット発電中さん:2014/05/04(日) 17:53:34.06 ID:eUOUnSEg.net
泥臭い回路でやってたんじゃないかな>フィーリングカップル5対5

円形のランプをぐるぐる点灯するとかのベースはしっかりあって、
そういう意味では遊園地の電飾とかも。

いっせいのせでリンクが光るモードがまずあった。
後半おもろい男が選んだ女子に光が伸びていくモードとか、
全員に光が伸びていくモードとかもあったな。あのヘンは
なんかシーケンサがあったのかも。

当時のI/Oにそういう電飾ドットマトリクスをTK−80で制御する
という投稿があったが、パワトラとかもへろへろな時代で、ロジック
出力で24Vの電球を駆動するのが大変そうな印象がある。あと
総消費電力がべらボーだとも。

503 :774ワット発電中さん:2014/05/04(日) 18:18:12.99 ID:yDMHxn9y.net
テレビの照明の中、24V電球でいけるんかな?
決定の瞬間はたしか照明落としてたっけ?
結果が出た後再度照明Onになったと思う。
今のロンハーのやつとかどーとでもできるよな、FPGAより絶対CPUだな。

504 :774ワット発電中さん:2014/05/04(日) 18:34:38.26 ID:C8+7TWYQ.net
リレーがあれば大体いける

505 :774ワット発電中さん:2014/05/04(日) 20:31:35.95 ID:9ipg6LJT.net
中の人が一つ一つスイッチをオン・オフ

506 :774ワット発電中さん:2014/05/04(日) 20:49:55.56 ID:G+8rR6Hk.net
>>492
5対5を直線で結んだ電球があるだけでしょ。
あとはクリスマスツリーの電球みたいに散らしてるだけ。
何ステップかのスライド電極で右から入れるか左から入れるか。
電球自体は兼用で個別とグループ(点灯モード毎)のダイオードで引っ張っても良いよ。
スライドは手動でもモーターでも、
組み合わせ判定は手動でも多回路スイッチ使った配線でもいいよ。

507 :774ワット発電中さん:2014/05/05(月) 01:48:11.79 ID:yrscVgCv.net
>>499
裏でスタッフが見て判断してるだけでしょ
早押しじゃないんだから、人間系で十分

508 :774ワット発電中さん:2014/05/05(月) 01:50:38.44 ID:FNxg9YNC.net
>>506
おもしろいようにハートマークつけたり、電飾機能いろいろあっただろ。
そんなやっつけ設計で、番組の雰囲気ディスったらどうするつもりだ。

509 :774ワット発電中さん:2014/05/05(月) 02:12:41.18 ID:BiwvOMDK.net
組み合わせ数は、どのように計算するかと言うと、
5個の中から1個を取り出す組合せを、2回路あればいいのかな。
おっと、同時に5組全員がカップル誕生ということもあるから、10組かな。

510 :774ワット発電中さん:2014/05/05(月) 15:19:44.58 ID:P4RQABUD.net
その時代のテレビはまだやらせや演出のオンパレードだっただろうし
人間が1個1個スイッチ入れてただけでしょ

511 :774ワット発電中さん:2014/05/05(月) 16:12:39.02 ID:/wRoh0lA.net
最初から台本にしたがって組み合わせが決まってるから、それにあわせて
固定で配線しておくだけの簡単なお仕事です

512 :774ワット発電中さん:2014/05/05(月) 17:28:51.40 ID:pHIlnXFH.net
ウィキペディアじゃ改良されながら進化していったみたい

513 :774ワット発電中さん:2014/05/09(金) 08:12:20.72 ID:fQ6rPU3D.net
HELIOが個人でも買えるようになっとるな。

514 :774ワット発電中さん:2014/05/09(金) 10:59:22.99 ID:twTsy1kh.net
Cmod S6 Kit
http://akizukidenshi.com/catalog/g/gM-07739/

ザイリンクス社製 スパルタン6 FPGAと書き込み機能、周辺回路を
DIP−48ピンパッケージに詰め込んだ、小型モジュールです。

1個 ¥8,100(税込)

515 :774ワット発電中さん:2014/05/09(金) 11:53:07.88 ID:oVigASok.net
高杉

516 :774ワット発電中さん:2014/05/09(金) 12:24:03.74 ID:Iq0JvKaO.net
[Papilio Pro LX9]に比べて、サイズ以外は明らかに劣るよな
残念ながら売れそうも無い。

517 :774ワット発電中さん:2014/05/09(金) 13:07:42.74 ID:zuwmL0el.net
どうせ秋葉に行くついでだから買ってみよう。

518 :774ワット発電中さん:2014/05/09(金) 19:48:19.45 ID:cL1MI2b4.net
LXTならすぐぽちった
BGAなのにLX

519 :774ワット発電中さん:2014/05/10(土) 01:18:20.77 ID:EeVYAorh.net
秋月が怒涛の勢いでDigilent製品入荷しだしたね
もっと入手性良くなってほしいと思ってただけに嬉しい
早速ZYBOぽちっちまったぜ…

520 :774ワット発電中さん:2014/05/10(土) 14:01:29.29 ID:tEO19rCU.net
http://akizukidenshi.com/catalog/g/gM-07737/
Spa3ってのがな

521 :774ワット発電中さん:2014/05/10(土) 14:33:14.76 ID:RwTQKLDJ.net
コスパ悪すぎ

522 :774ワット発電中さん:2014/05/10(土) 15:18:42.64 ID:YMCK2sL/.net
Basysって学部生向けの教育用のボードでしょ
そりゃコスパは悪いよ

523 :774ワット発電中さん:2014/05/10(土) 15:46:39.01 ID:cX0GumzD.net
うむ
今となってはRasPIの方が楽しそう

524 :774ワット発電中さん:2014/05/14(水) 23:39:34.94 ID:l6BNbeen.net
>>523
なんでFPGAとマイコンの比較になるのかサッパリわからん・・・

525 :774ワット発電中さん:2014/05/15(木) 00:24:10.32 ID:DITsokWZ.net
>>524
今もうFPGAにCPUの機能を実装出来るからじゃない?
境目が無くなって来てるよね。

526 :774ワット発電中さん:2014/05/15(木) 01:53:16.91 ID:XNmX1wmO.net
CPU使いたい人はFPGA買わないでしょ
FPGA使わなきゃCPU単体で実現できない機能なんてかなりマニアックな話だ

527 :774ワット発電中さん:2014/05/15(木) 06:28:16.60 ID:ZC6njv4k.net
>>526
> かなりマニアックな話だ
このFPGAスレのアマチュア比率は高くないと思う

528 :774ワット発電中さん:2014/05/15(木) 06:41:22.30 ID:n7J7I06D.net
>>526
最近はこんなとこにまで使われてたんだな
http://matome.naver.jp/odai/2138793458490937701
http://matome.naver.jp/odai/2138793458490937701?page=2

529 :774ワット発電中さん:2014/05/15(木) 06:53:33.13 ID:aDUPD4cp.net
適しているのはマイナーI/F

530 :774ワット発電中さん:2014/05/15(木) 08:33:36.09 ID:dy1F5fC9.net
>>528
金融取引のレスポンス向上でCPUじゃ勝負にならずFPGAでやってるとか。
日銭稼いでるデイトレーダとか涙目だな。

531 :774ワット発電中さん:2014/05/15(木) 08:37:46.30 ID:dy1F5fC9.net
http://matome.naver.jp/odai/2138793458490937701?page=2
>ASICの開発エンジニアがリスピンの恐怖から受けるプレッシャーに比べれば,
>FPGAを使うエンジニアが感じるプレッシャーは,かなり小さいのではない。

"か?"ぬけちゃ意味が逆になるんだがwww

532 :774ワット発電中さん:2014/05/15(木) 08:44:51.93 ID:+H1AQeOC.net
>>531
リスピンとは。心臓の拍動のリズムの乱れを正常にする薬です。ほかの抗不整脈剤が使用できなかったり、ほかの抗不整脈剤が無効の場合に用いられる薬です。

なるほど、あれは心臓に悪いからな。

533 :774ワット発電中さん:2014/05/15(木) 09:00:07.17 ID:M0Dcq1ZL.net
いや仕事でRasPiなんて使わないだろ

534 :774ワット発電中さん:2014/05/15(木) 12:08:11.22 ID:+6UcUZBu.net
多少止まってもイイから安く、が条件なら使える。

535 :774ワット発電中さん:2014/05/15(木) 12:11:43.02 ID:u6kslS4D.net
>>531
正直なところリスピンなんて言葉初めて聞いた。
どこのベンダ用語だろう?

536 :774ワット発電中さん:2014/05/15(木) 12:25:32.43 ID:+6UcUZBu.net
Re-spinだろうから、
1回でもlsi設計に関わった人なら説明なしでピンとくると思う。
ウチではリワークと呼んだが。

537 :774ワット発電中さん:2014/05/15(木) 12:27:36.89 ID:aDUPD4cp.net
うちではバージョンアップ

538 :774ワット発電中さん:2014/05/15(木) 12:42:45.44 ID:u6kslS4D.net
>>536
文脈から意味はわかるんだけど、じゃあ、何がspinするの?
ウエハーにレジスト塗るやつしか思い浮かばない。
実物は見たことないけどさ。

ECOとかメタル修正じゃないの?
アルミ修正とも言ってたけど、今はアルミじゃなさそうだし。

539 :774ワット発電中さん:2014/05/15(木) 12:46:01.94 ID:u6kslS4D.net
ECOだけじゃなく、全層修正とか、それこそ作り直しも含むのかな・・・

540 :774ワット発電中さん:2014/05/15(木) 13:39:15.96 ID:BQZemum/.net
検索ぐらいしろよ

541 :774ワット発電中さん:2014/05/15(木) 14:15:47.64 ID:QYCH4ymq.net
そもそもNAVERなんか貼るなよ

542 :774ワット発電中さん:2014/05/15(木) 16:29:09.65 ID:u6kslS4D.net
>>540
検索したけど判りません

543 :774ワット発電中さん:2014/05/19(月) 13:26:32.67 ID:AFxb6uVF.net
FPGAって基本的に同期回路で使うものらしいですが、
非同期の組み合わせ回路とかグルーロジックをいれてもokなんですか?
あと、単なるバッファにしたとか、NAND回路を作ったりしたとして
その遅延をデータシートから読むことってできますか?

544 :774ワット発電中さん:2014/05/19(月) 13:38:56.42 ID:wER43U3y.net
できる

545 :774ワット発電中さん:2014/05/19(月) 18:15:17.63 ID:CVe/WEXW.net
>>543
両方yes

546 :774ワット発電中さん:2014/05/20(火) 15:12:46.54 ID:fHB74sHS.net
FPGAのプロセスいまどんだけ?22nm?

547 :774ワット発電中さん:2014/05/20(火) 15:45:35.16 ID:aluQmy0N.net
ggrks

548 :774ワット発電中さん:2014/05/20(火) 21:49:07.93 ID:qIQct4k/.net
>>547 GJ!

549 :774ワット発電中さん:2014/05/21(水) 10:52:41.07 ID:5ta0lhvU.net
USB-Blasterもどきの作者のHPがアクセスできないんですけど

550 :774ワット発電中さん:2014/05/21(水) 12:31:14.35 ID:FLiJFZ4U.net
>>549
ウェッブアーカイブズは?

551 :774ワット発電中さん:2014/05/21(水) 14:14:26.22 ID:JqA+0dCZ.net
>>550
ありがとう
作者のHPに閉鎖とが書いてないから単なる鯖落ちみたいだ

552 :774ワット発電中さん:2014/05/23(金) 22:59:18.14 ID:6mVYIyZ3.net
Security:42 - Your software subscription period has lapsed.
Your current version of Xilinx tools will continue

新しいのを買ってくださいと言うのでしょうか?

553 :774ワット発電中さん:2014/05/23(金) 23:38:45.15 ID:AvXZo0SC.net
書いてある通り

554 :774ワット発電中さん:2014/05/25(日) 15:42:37.67 ID:0XfkXsSn.net
【FPGA】 AlteraとXilinxの二強に立ち向かうLattice、28nm製品を2016年に投入 次世代ロードマップ公開
http://fox.2ch.net/test/read.cgi/poverty/1400998016/

555 :774ワット発電中さん:2014/05/25(日) 16:56:00.43 ID:HJRvcuAI.net
>>554
ファブはどこ?

556 :774ワット発電中さん:2014/05/25(日) 17:27:52.36 ID:Yd7O1/Jd.net
>>555
その28nm、今の時点では「どこのFoundryを利用するかは言えない」という話であった。2016年にサンプル
出荷だとすると、2015年中にTape outすれば間に合う事になる。この観点からするとTSMC/GLOBAL
FOUNDRIES/UMC/Samsung/SMICといったFoundryが全部量産可能になっている「筈」で、現在は
品定めをしているというあたりだろうか。

と書いてあった

557 :774ワット発電中さん:2014/05/25(日) 18:24:20.98 ID:CjbU6mZL.net
アルテラは今年14nmでしょ

558 :774ワット発電中さん:2014/05/25(日) 19:47:47.22 ID:G9tXKTSn.net
無理だろ

559 :774ワット発電中さん:2014/05/25(日) 20:36:36.66 ID:CzOGQRsD.net
Latticeさん、XとかAに勝負なんて挑まないで今ある製品大事にしてよ…
discon対応したくないよ…

560 :774ワット発電中さん:2014/05/25(日) 20:39:54.39 ID:k/pzY/Jp.net
基板改版で、バッサリとLatticeからAlteraに乗り換えたw

561 :774ワット発電中さん:2014/05/26(月) 12:11:08.26 ID:+d7by8pR.net
Latticeのツールは結構良いよね、Xilinxに比べると

562 :774ワット発電中さん:2014/05/26(月) 20:59:15.45 ID:Gee4vKGg.net
そんなことに時間割きたくないw
AとXでお腹いっばいw

563 :774ワット発電中さん:2014/05/26(月) 21:33:49.64 ID:am/tfqsL.net
>>561
そうかな?
Diamondになってから使いにくくなったと思ってるんだけど…
ispLeverのほうが良かった。

564 :774ワット発電中さん:2014/05/27(火) 05:26:47.67 ID:CWFXM/pV.net
Xはもう駄目だ
Aと比べて、Xを選択するに足る利点が無い

駄目な分だけ安いのなら、アリかも

565 :774ワット発電中さん:2014/05/27(火) 08:27:31.18 ID:8+JlCCk9.net
そう、駄目なXを使うからお腹いっばい キリ

566 :774ワット発電中さん:2014/05/27(火) 08:29:31.69 ID:DbttTEEU.net
んで、いつになったら容量で追いつくの?

567 :774ワット発電中さん:2014/05/27(火) 18:28:10.72 ID:ROnAG5Jz.net
>>564
高速SERDESはXが性能いいらしいが
後DSPも

568 :774ワット発電中さん:2014/05/28(水) 02:35:27.48 ID:P8GRCWmu.net
ツールの種類で、デバイスが決まるという 変な仕様→X
Vivadoでは、Spartan6ができないらしい。
鉛とかは使わないので、ISEのままでいいや。

569 :774ワット発電中さん:2014/05/28(水) 05:10:48.90 ID:ZVg8/kuK.net
DSPは使う場面が限られるからな
むしろDSP無しで、その分をRAMの増量 のような製品展開に期待するよ。
RAMなら潰しが効く。

570 :774ワット発電中さん:2014/06/04(水) 11:53:07.47 ID:osjsjURh.net
だれかDG535余ってないっすか

571 :774ワット発電中さん:2014/06/04(水) 13:54:09.02 ID:GdJ1Z1BI.net
余ってるよ

572 :774ワット発電中さん:2014/06/05(木) 09:00:40.67 ID:u2OBLmub.net
>>570
だからチューナブルフィルタ使えっての

573 :774ワット発電中さん:2014/06/05(木) 15:54:00.22 ID:UUkVxur9.net
DG535、できたら20万くらいで買いたいんだけど、、

574 :774ワット発電中さん:2014/06/06(金) 14:52:38.75 ID:74cNidI7.net
>>573
ヤフオクでいけ
http://page9.auctions.yahoo.co.jp/jp/auction/k172743466

575 :774ワット発電中さん:2014/06/06(金) 20:21:37.60 ID:jZKqyRh/.net
オシロスレでも宣伝してたけど、こんな所で営業しても売れないと思うぞw

576 :774ワット発電中さん:2014/06/06(金) 23:39:22.47 ID:g52WPTks.net
MAX 10 FPGA
ttp://www.altera.co.jp/devices/fpga/max-10/max-10-index.html

577 :774ワット発電中さん:2014/06/07(土) 02:26:10.53 ID:e4CBsbpr.net
出品した直後に宣伝ですか。1円で落札されればいいのに。

http://hissi.org/read.php/denki/20140604/b3Nqc2pVUmg.html

578 :774ワット発電中さん:2014/06/07(土) 02:44:33.01 ID:i637Sjur.net
ワラタ
こんなガラクタ売れるのか

579 :774ワット発電中さん:2014/06/07(土) 11:26:22.16 ID:Ybdn8a8z.net
>>576
ちょっと興味あるけど発売遅すぎだね

580 :774ワット発電中さん:2014/06/08(日) 01:19:39.78 ID:iegAAl5z.net
教えてください。

未書き込みのFPGAは、電源onしただけの状態では、I/OピンはHi-Zになっていると
考えて良いでしょうか? アルテラのサイクロン3です。
もしHi-Zなら、そのFPGAのI/Oに接続されているADやDAのSPIを、
外部の別のFPGAやマイコンから駆動し、周辺ICの動作をチェックしたいからです。

581 :774ワット発電中さん:2014/06/08(日) 07:14:42.40 ID:XKSgzdaP.net
考えるだけなら問題ない

582 :774ワット発電中さん:2014/06/08(日) 07:51:40.66 ID:3/gN6ieH.net
>>580
つ weak pullーup

583 :774ワット発電中さん:2014/06/08(日) 07:54:02.41 ID:3/gN6ieH.net
言い忘れ
>>580
> 外部の別のFPGAやマイコンから駆動し、
問題ない

584 :774ワット発電中さん:2014/06/08(日) 11:28:43.85 ID:H5L3kEtR.net
WEEK PULL-UPは、新品未書込み、電源offの状態でも有効なのでしょうか?
事前にWEEK PULL-UP設定bitをonにしておかないと効かない、ということはないのでしょうか?

585 :774ワット発電中さん:2014/06/08(日) 12:04:56.03 ID:LZJX+wof.net
データシートぐらい読めよ

586 :774ワット発電中さん:2014/06/08(日) 12:11:57.44 ID:fk5egLhp.net
>>554
> >>554

LatticeのFabって、90nm時代は 四日市じゃなかったけ。

>>559

日本の半導体メーカーのFab使うと、ディスコンとか工場移転で特性変更とかの嵐だな。

最近の日本の半導体工場は、野菜工場に変わりつつあるらしい。それはそれで悪い話じゃないが。

587 :774ワット発電中さん:2014/06/08(日) 16:06:29.97 ID:cDMjiS3C.net
>>586 これね。
【千葉】国内最大級の野菜工場が完成、千葉・柏…レタスやハーブなど、1日1万株を生産[06/08]
http://anago.2ch.net/test/read.cgi/bizplus/1402195066/

588 :774ワット発電中さん:2014/06/08(日) 17:45:15.87 ID:H6DJsipJ.net
どうでもいい

589 :774ワット発電中さん:2014/06/08(日) 18:01:32.88 ID:Wfe7YTgo.net
>>584
> 事前にWEEK PULL-UP設定bitをonに
どうやってやるんだよ。
FPGAの原理から勉強しなおせ。

590 :774ワット発電中さん:2014/06/08(日) 18:51:07.60 ID:2IjIm9Pj.net
WEEK PULL-UP って何だよw

591 :774ワット発電中さん:2014/06/08(日) 19:19:48.14 ID:qi4ksoiV.net
さーて来週のプルアップさんは、

592 :774ワット発電中さん:2014/06/08(日) 19:48:47.97 ID:7vamDGSu.net
>590
活線挿抜向けの設定で、なんとかっつーピンをHにして電源上げると全ピンが初期化前時点で
weak pull-up になる (Lにしておくとほとんどのピンがfloat)、というのが材にはあった。
他は知らんが。

593 :774ワット発電中さん:2014/06/08(日) 20:41:50.11 ID:HcS+TPh8.net
起動時がweak pullupにならないデバイスがあったら教えて欲しいわ

594 :774ワット発電中さん:2014/06/08(日) 20:53:40.58 ID:q1WBhBNM.net
Microsemi

595 :774ワット発電中さん:2014/06/08(日) 20:54:05.37 ID:ArPzTv8L.net
>>593
あるかもだから、そこまで言わないほうが…w

596 :774ワット発電中さん:2014/06/09(月) 08:49:10.22 ID:7MheQN8Z.net
>>591
next week pull-upとは言ってない件について

597 :774ワット発電中さん:2014/06/09(月) 09:00:31.36 ID:r+BUN7k5.net
本人は面白いと思っているんだから放っておけ

598 :774ワット発電中さん:2014/06/09(月) 09:25:05.49 ID:AP4EFcqm.net
週刊プルアップ
創刊号は4.7kΩが付いて780円

599 :774ワット発電中さん:2014/06/09(月) 10:54:55.08 ID:8bfTRICC.net
そろそろプルダウンの時間

600 :774ワット発電中さん:2014/06/09(月) 21:54:22.48 ID:Y+80XdgU.net
>>598 それならweeklyだべw

601 :774ワット発電中さん:2014/06/10(火) 01:42:12.26 ID:ncpR4cL1.net
質問いいでしょうか?
アルテラのストラテックス4GXというFPGAを使おうと考えています。
仕様書を読んでいたのですが、疑問があり、書込いたします。
よろしくお願いします。

例えば、16bitをベクターで出力したいとき、
VCCIO電圧が同じなら、Bankを跨いで(またいで)出力しても問題ないでしょうか?
それとも、
同一Bank内でまとめなければ、いけないでしょうか?

602 :774ワット発電中さん:2014/06/10(火) 03:16:17.31 ID:wYA++++f.net
ピン配列自分で指定するの?最初から?
まあ問題ないだろうけど。

603 :774ワット発電中さん:2014/06/10(火) 05:14:06.58 ID:n9uZiWaP.net
>>602
ありがとうございます。
外部デバイスまで、交差しないように綺麗にパターンを外に出すために、
初めからピンを決めています。

604 :774ワット発電中さん:2014/06/10(火) 07:08:42.53 ID:6SCG0Nk1.net
>>593
ラティス
weakプルダウンになる

605 :774ワット発電中さん:2014/06/10(火) 08:39:25.98 ID:vBkigMMg.net
>>601
低速なら問題ないが、高速だったり使用率が上がると面倒だよ。
同じバンクにまとめておくのが無難

606 :774ワット発電中さん:2014/06/10(火) 10:44:48.22 ID:tmXoFVt3.net
>>586
XO2は富士通四日市だってこの間のセミナーで言ってた

607 :774ワット発電中さん:2014/06/10(火) 12:21:49.62 ID:wYA++++f.net
とりあえずのプロトでイイから一回コンパイルしてソフトにピン配置決めさせてる。
今はチップ/ソフトが良くなったから要らないのかもしれないが
その昔、ピン配置どうにでもなると誤解した上司が先に基盤作ってしまってfitせず
「PLD、使えねえ!」って吠えてたっけ。

608 :774ワット発電中さん:2014/06/10(火) 20:16:01.44 ID:udL2M4Ow.net
基盤でなく基板な

609 :774ワット発電中さん:2014/06/10(火) 20:44:02.55 ID:uZl3kaMM.net
>>607
プロトでイイから一回コンパイルしてソフトにピン配置決めさせてる。

それって、あんまり合理的な理由がないように思うんだけど
いまでもそれなりに正しいの?
全部いりのほぼ完成ロジックでやるなら納得なんだけど、使用率が半分
程度のいんちきロジックだとどれほど意味があるのかと・・・。

610 :774ワット発電中さん:2014/06/10(火) 20:58:08.90 ID:ZeeFbBdJ.net
>>609
Aの場合、DDR2のIPでピン決めうちがあるから、やったほうがいい。
Xは知らん。

611 :774ワット発電中さん:2014/06/10(火) 21:18:35.18 ID:kQ0Z6MYW.net
xもそうだけど、それはデータシート見て決めろよ

612 :774ワット発電中さん:2014/06/10(火) 21:43:20.45 ID:57nk2z8X.net
IPとかクロック入出力ぐらいじゃないの

613 :774ワット発電中さん:2014/06/10(火) 22:42:48.46 ID:OG7G/QNG.net
>>611
やってみれば分かるよw

614 :774ワット発電中さん:2014/06/10(火) 22:53:25.24 ID:5viGuzrF.net
ソフトに決めさせる必要はないと思うが、コンパイルが通るかどうかは確認しておいたほうがいい。

615 :774ワット発電中さん:2014/06/10(火) 23:06:00.45 ID:ncpR4cL1.net
そうすると、基板設計とHDL設計とが別々にできないことになりますね。
正しい道筋としては、
1. HDLを全部最後まで書いて、
2. ピンフリーでコンパイルしてみる。
3. その結果を見てピン配決定、基板設計開始
という手順でしょうか?

616 :774ワット発電中さん:2014/06/10(火) 23:10:38.56 ID:GqV44kOT.net
最近のデバイスはだいぶ自由度が上がってるけど、やっぱりクロック周りは一度コンパイルして確かめてる。
たまに配線できない組み合わせ、というのがあり、それに当たるともうニッチモ・サッチーモになるので・・・
デザインほぼ空っぽで(適当なFFだけとか)、クロックとPLLとバッファー(と場合によってハードマクロも)
だけインスタンスしてエラーが出ないか見てる。あとはとコンフィグ周りもか。
この辺間違えてると致命的だよね。

あと、ロジック遅延より配線遅延の方がクリティカルな場合があるので、デバイスのフロアプランから
ピン配置を決めるようにしてる。パッケージのピンから配置を決めると、ピンの位置は隣でもチップでは
離れていたりするので。
最初からモジュールを実装する場所を想定しておいて、その近くのI/Oバンクを確保するとか。
もちろん基板の方の制約がある場合は基板を優先。

617 :774ワット発電中さん:2014/06/10(火) 23:28:41.69 ID:mopH9sIz.net
>>615
正しいっちゃ正しいけど、一番時間のかかるやり方でもあるかな
普通はバンクごとに接続デバイスを割り当てて簡単な回路でピンのコンパイル確認
基板作ってる間に本格的な回路をこしらえるのが普通だね

618 :774ワット発電中さん:2014/06/10(火) 23:31:58.76 ID:mopH9sIz.net
>>615
ああ、バンクに同じデバイスの信号が納まらないって言ってるのか
まあIO電圧あってればバンクまたいでも大丈夫なはず
そのピン配置を確認するのが簡単な回路を使ったコンパイルだな

619 :774ワット発電中さん:2014/06/10(火) 23:47:02.96 ID:5viGuzrF.net
ピンフリーでコンパイルはコンパイラがそんなに賢くないので逆にフィットしない場合もある。
いずれにしてもデータシートなどのドキュメントは熟読するべし。

620 :774ワット発電中さん:2014/06/11(水) 07:55:29.84 ID:DlW702Qs.net
>>615
2.からでいい

621 :774ワット発電中さん:2014/06/11(水) 07:57:24.09 ID:DlW702Qs.net
>>619
>>613

622 :774ワット発電中さん:2014/06/11(水) 09:34:21.64 ID:jo2/u0VK.net
アプリケーションノートに推奨フローが書いてあるんだからまずはそれに従えば?

623 :774ワット発電中さん:2014/06/11(水) 10:18:42.15 ID:xSrY/elW.net
その通りに出来れば誰も苦労はしない

624 :774ワット発電中さん:2014/06/11(水) 10:36:13.56 ID:L84ReD+n.net
推奨フローに従わない?
それならできなくても文句言うな
アホか

625 :774ワット発電中さん:2014/06/11(水) 10:52:29.51 ID:d2uFl7Xg.net
居るよね、不必要な事に拘って必要なことを放置する奴

626 :774ワット発電中さん:2014/06/11(水) 14:22:40.25 ID:+W9Lvz/6.net
仕様書読むのは必要なことだろ!

627 :774ワット発電中さん:2014/06/11(水) 14:30:25.50 ID:4g5Rf3nA.net
510円で売れたみたい
http://page9.auctions.yahoo.co.jp/jp/auction/k172743466

628 :774ワット発電中さん:2014/06/11(水) 14:49:42.30 ID:t5gvT4oa.net
ワラタ

629 :774ワット発電中さん:2014/06/11(水) 20:00:28.53 ID:GdTt535i.net
あと129,490円だったのに!

630 :774ワット発電中さん:2014/06/11(水) 20:18:35.61 ID:jpZ0Elxu.net
こんなゴミ屑、よく買う奴が出たな。
2chで宣伝した甲斐があったと言うものだwww

631 :774ワット発電中さん:2014/06/11(水) 20:28:58.45 ID:MbAxKQyi.net
>>622
「一見は百聴にしかず」という言葉を知らないの?

例えばさ、AでC4までやってた人は、C5でVREFピンで躓きやすい。
これは思い込みなんだが、思い込みだからたちが悪い。

632 :774ワット発電中さん:2014/06/11(水) 20:40:01.06 ID:GmoXWp57.net
>>631
無能自慢なら他所でやってよ

633 :774ワット発電中さん:2014/06/11(水) 21:10:54.82 ID:SVDRoNbW.net
おまえもな〜

634 :774ワット発電中さん:2014/06/11(水) 21:29:35.01 ID:jr0FnKL4.net
>>631>>633
老害はほっとけ

635 :774ワット発電中さん:2014/06/11(水) 21:33:48.82 ID:N9l0G3N1.net
プロトタイプでピンを決めさせる
  ↑
ソフトが決めたピンが無理がないベストなピン配置

は偽で、

「仕様書読んでピンを決めたけど、オオバカやってないことを
コンパイルが通ることで確認しておく」

は真、ということでいいのかな?

636 :774ワット発電中さん:2014/06/11(水) 21:38:11.90 ID:6Hr+yEiR.net
コンパイルというよりP&Rでしょ

637 :774ワット発電中さん:2014/06/11(水) 22:53:36.69 ID:Mf0I+e38.net
機械でチェック出来ることは機械にやらせる。
それだけの事さ。

638 :774ワット発電中さん:2014/06/12(木) 19:36:05.01 ID:mzdn4S9l.net
terasic DE1出ると思って待ってるんだけどな

639 :774ワット発電中さん:2014/06/12(木) 19:37:16.13 ID:mzdn4S9l.net
DE1-nanoね

640 :774ワット発電中さん:2014/06/13(金) 02:25:11.32 ID:VXhMuYd1.net
どうしてもミスりたくないなら手段はひとつに絞らず全部試すくらいでちょうどいい。

# こちらに日がなくてもエラッタに当たったりとかあるから怖いんだけどw

641 :774ワット発電中さん:2014/06/13(金) 08:38:15.74 ID:zr9tsuX6.net
出た! 力任せの総当たり論!!
これだから老害はw
さっさと引退してくりw

642 :774ワット発電中さん:2014/06/13(金) 09:24:39.08 ID:Zvam623+.net
目視絶対論とかな

643 :774ワット発電中さん:2014/06/13(金) 18:33:09.07 ID:imSp8QSa.net
>>641
あなたは、どこの大学で何の専門を勉強してきたの?

644 :774ワット発電中さん:2014/06/13(金) 21:31:55.33 ID:7dEnMnVr.net
出た! 学歴偏重主義論者。昭和だなぁ〜、これだから老害はw
でも言っていることは無学な体育会系総当たり論!!
さっさと引退してくりw

645 :774ワット発電中さん:2014/06/14(土) 10:38:29.43 ID:ZbmkDt6X.net
ちょっとどこで聞いたらいいのかわからんので、
FPGAをいろんな場面でつかうこのスレの住人に聞きたいんだけど
10MHz ルビジウムの例の中古と
http://www.tamadevice.co.jp/pdf/rakon/stp3098lf.pdf
ここらのOCXOならどっちが安いの?

646 :774ワット発電中さん:2014/06/14(土) 11:00:10.05 ID:LFnDzjD8.net
またガラクタオークションの値段釣り上げか?

647 :774ワット発電中さん:2014/06/14(土) 11:28:32.34 ID:CITjOzkk.net
そこまで正確な周波数って何に使うの?

648 :774ワット発電中さん:2014/06/14(土) 11:36:50.81 ID:ZbmkDt6X.net
>>646
だからOCXO買うんじゃないか。
20ppbもあれば、何もルビジウムである必要はないから

>>647
測定器の校正

649 :774ワット発電中さん:2014/06/14(土) 11:53:23.20 ID:81pgFTvY.net
で、次のヤフオク出品は何時頃ですかw

650 :774ワット発電中さん:2014/06/14(土) 13:08:43.78 ID:ZbmkDt6X.net
>>649
馬鹿は意味のないルビジウムに飛びついてろ

651 :774ワット発電中さん:2014/06/14(土) 13:21:10.67 ID:81pgFTvY.net
つまり、ジャンクOCXOを出品されるのですねw

652 :774ワット発電中さん:2014/06/14(土) 13:37:28.24 ID:QwlKA4cv.net
OCXOはいくら安定度が良くてもGPS制御の発振器とかを使って校正しないと
確度が不明だよ

653 :774ワット発電中さん:2014/06/14(土) 13:49:32.46 ID:81pgFTvY.net
目的は校正じゃなくて、ジャンクの営業だからな。
校正目的なら、GPSの1秒パルスを入れれば良いだけ。

654 :774ワット発電中さん:2014/06/14(土) 16:48:41.94 ID:JLLO2giy.net
電波時計じゃだめなん?

655 :774ワット発電中さん:2014/06/14(土) 17:42:18.90 ID:P7RV/LJ6.net
老害だってなんだって、成果が出ればOKよ。
(30代火消し担当)

656 :774ワット発電中さん:2014/06/14(土) 18:38:34.37 ID:GzVMg9R1.net
>>654
電波時計でやるのは手間と時間がかかりすぎるけど出来なくは無い。
少し技術が要るけど、長波JJYからキャリアを抜き出して基準信号を作った方がいい。

657 :774ワット発電中さん:2014/06/14(土) 18:59:42.70 ID:WeLrHd5u.net
成果が出て黒字なのと、
成果が出でも老害によって赤字とでは、
どっちがより多くボーナスを貰えるかの件について

658 :774ワット発電中さん:2014/06/14(土) 19:20:47.26 ID:GzVMg9R1.net
ガラクタOCXOが幾らで落札されるか見ものだなw

659 :774ワット発電中さん:2014/06/14(土) 19:26:45.01 ID:QwlKA4cv.net
責任を転嫁してる差別主義者が成果を出せるのかね

660 :774ワット発電中さん:2014/06/14(土) 19:40:11.12 ID:6t0jw9af.net
独立なり転職なり、より素晴らしい成果が出ることを期待する

661 :774ワット発電中さん:2014/06/14(土) 20:06:34.56 ID:pG1KoWkR.net
さっさと引退してくれば済む話しw

662 :774ワット発電中さん:2014/06/14(土) 20:08:28.56 ID:+HXlp1C+.net
>>647
オーディオに使う人たちがいるみたいだね。
違いがあるとは思えんけど…

663 :774ワット発電中さん:2014/06/14(土) 20:09:18.00 ID:70NFKeEz.net
スレタイ読めよw

664 :774ワット発電中さん:2014/06/14(土) 22:08:03.49 ID:ddhm28MZ.net
発言する奴の能力と、「老害」を使う頻度間には負の相関関係があるそうな

めでたし、めでたし。

665 :774ワット発電中さん:2014/06/15(日) 01:19:56.46 ID:MhroVzAD.net
>662
がんばって引きずりおろそう!
'(ただし、方法によっては「会社の足を引っ張る」ことになるから注意な)

666 :774ワット発電中さん:2014/06/15(日) 01:40:59.00 ID:u8ll3QM3.net
>>662
激変と言ってたが、水晶(無制御)と水晶(ルビジウム基準)で差がわからなかったわ
コネクタの抜き差しで抜いた途端みんな頷いてたけど、わからん
そう言ったらクソ耳扱いされたw

667 :774ワット発電中さん:2014/06/15(日) 01:42:56.87 ID:hVmeVp+5.net
そういうのは完全なブラインドテストをしてみないとなんとも

668 :774ワット発電中さん:2014/06/15(日) 01:43:54.89 ID:u8ll3QM3.net
ブラインドでやってみようと言うと拒否される不思議

669 :774ワット発電中さん:2014/06/15(日) 07:57:52.14 ID:CowFiSFw.net
針金ハンガーケーブルでも使ってろって感じだよね。

670 :774ワット発電中さん:2014/06/16(月) 08:41:41.37 ID:OSExXu2K.net
>>664
必死だなぁ、俺は現役だとでも言いたいの?
無学な総当たり論展開しちゃったのに?
おめでたい野郎だなw

671 :774ワット発電中さん:2014/06/16(月) 17:14:37.20 ID:+QRTvRdE.net
どっちが無学だかw

672 :774ワット発電中さん:2014/06/16(月) 17:18:29.96 ID:+GSHWqwH.net
どちらも詭弁のガイドラインに沿いすぎ

673 :774ワット発電中さん:2014/06/16(月) 17:59:09.30 ID:+QRTvRdE.net
中身で判断できない奴は、そういうものに頼らざるをえないしねw

674 :774ワット発電中さん:2014/06/16(月) 18:04:41.13 ID:v7vBIovk.net
また詭弁だね

675 :774ワット発電中さん:2014/06/16(月) 21:19:32.12 ID:kd4tCgL6.net
あきた

676 :774ワット発電中さん:2014/06/16(月) 23:38:22.35 ID:VnbyY96s.net
全力を尽くすべきかどうか・手段を選ぶべきでないかどうかも状況によるからな
そこの仮定をせずに相手の意見をバッサリ切り捨てるのは、立場に寄らずどうかと思うわ

677 :774ワット発電中さん:2014/06/17(火) 01:48:54.19 ID:ALwD2WoV.net
必要なら自分で仮定しろよ
相手が察しないから悪いって

678 :774ワット発電中さん:2014/06/17(火) 08:32:50.32 ID:L4SK2cHu.net
後出しジャンケンでドヤ顔かよ、懲りない奴

679 :774ワット発電中さん:2014/06/17(火) 09:01:47.13 ID:xOlTRftj.net
絵に描いたような老害ですわ

680 :774ワット発電中さん:2014/06/17(火) 11:27:39.17 ID:KEwlyaNY.net
ガキでも爺でもいいから、技術の話をしろよ
このノータリンども。

681 :774ワット発電中さん:2014/06/17(火) 11:33:08.87 ID:ZLkgdgO4.net
まず自分がやったら?

682 :774ワット発電中さん:2014/06/17(火) 11:45:07.20 ID:KEwlyaNY.net
そうだな

683 :774ワット発電中さん:2014/06/18(水) 00:06:30.57 ID:EluqPF3a.net
結局、技術の話なんて無いんだな

684 :774ワット発電中さん:2014/06/18(水) 00:08:22.75 ID:/kRbJzfU.net
アマチュアばっかりだからな

685 :774ワット発電中さん:2014/06/18(水) 00:12:03.00 ID:HO8tarbI.net
アマチュアなのは判ったから、せめて業務時間中は仕事をしろよ

686 :774ワット発電中さん:2014/06/18(水) 02:36:58.17 ID:ROmCj9ot.net
Stratix Vの内蔵温度ダイオードに期待していたのだが、誤差+-8℃とか笑える精度でいかんともしがたい感じだった。
実力はもっといいは思うけど…。

687 :774ワット発電中さん:2014/06/18(水) 04:17:13.91 ID:BocOivDt.net
>>686
0℃、30℃、60℃、90℃くらいの大雑把な判断には使えますよ、と思っておけば腹も立たない可能性。
だったら外部にI2Cの温度センサを置くわ、なんてことになりそうだ

688 :774ワット発電中さん:2014/06/18(水) 05:34:39.48 ID:puKxYtkh.net
まさか室温測るつもりじゃないだろうな・・・

689 :774ワット発電中さん:2014/06/19(木) 03:22:41.04 ID:2tyGWoP9.net
チップ内蔵の温度センサは、冷却ファンの回転制御用and/or異常高温時の停止用に付いてるだけだから

690 :774ワット発電中さん:2014/06/23(月) 23:41:37.43 ID:sNpCGTe+.net
内蔵センサでそこまで精度いる用途ってあるか?

691 :774ワット発電中さん:2014/06/24(火) 08:39:55.65 ID:eRvwqCJY.net
あんまりにも精度が悪いとファンが回ったり止まったり。
ちょっと恥ずかしい製品になる

692 :774ワット発電中さん:2014/06/24(火) 09:22:21.57 ID:4iD0VDNj.net
精度が悪いというのは、別に乱数を出すって意味じゃないぞ

693 :774ワット発電中さん:2014/06/24(火) 09:33:48.34 ID:QaOx87lb.net
精度関係ないな

694 :774ワット発電中さん:2014/06/24(火) 09:38:22.90 ID:GhXf9zcu.net
>>689も書いてるけど
Xのもそうだがあくまでファン制御とか緊急停止用のセンサだろ?
用途も考えずに笑える精度とか言うのはどうなの

695 :774ワット発電中さん:2014/06/24(火) 09:46:12.51 ID:GhXf9zcu.net
>>691
恥ずかしいのはそんな言い訳と設計しかできない設計者だと思うよ

696 :774ワット発電中さん:2014/06/24(火) 23:07:16.50 ID:4yCNWE5+.net
>>695
そりゃ、金次第で対策なら幾らでも出来るよ。

例えば恒温槽に放り込んで内蔵センサーの特性を取る。それもロットを変えて10個くらい。
例えばフィンに溝掘ってセンサーを埋め込む。
例えば四方に外部センサーを配置する。

でもね、やってみれば分かるけど、位置的に外部センサーの応答は内部には負けるんだわ。
なら最初の内部を補正するのが一番だけど、メーカーが保証してくれる訳でも無い。

結局金次第なんだな。

697 :774ワット発電中さん:2014/06/25(水) 00:18:33.48 ID:xYq8Cmb5.net
何か色々勘違いっぽい書き込み多いな

チップに内蔵されている温度センサの誤差が大きいのは、チップ自体の発熱が主な原因
同じチップでもクロックが違ったり、シンク/ソースの量が違えば発熱も変わる
だから、校正するためには実際使われる回路が決まって基板に実装した上でないと無理

そういうバラ付きを考慮すれば、実際のチップ表面温度に対して±8℃の誤差がありますよという仕様なワケ
継続して測ったら短時間で30℃→38℃→22℃とブレた値が出てきますよって話ではない。

また、この誤差を小さくできない理由の一つは、計測対象がチップ表面温度であるのに対して
温度センサは内部のダイに組み込まれていて熱的距離が遠いというのもある。
ただ、計測対象をチップ表面としたのは、あくまでもそこしか外部からは測れないからであって
チップの冷却ファンのコントロールや異常高温の検知はチップのダイを保護するために行うんだから
ダイの温度で制御する方が理に叶っている。

698 :774ワット発電中さん:2014/06/25(水) 00:49:38.07 ID:1mDWJy1W.net
>>696
そんなことやらなくてもヒステリシス入れておけば、

>あんまりにも精度が悪いとファンが回ったり止まったり。
>ちょっと恥ずかしい製品になる

なんてマヌケな状態にはならんだろ
絶対精度が必要なわけじゃ無いし

699 :774ワット発電中さん:2014/06/25(水) 00:54:41.20 ID:bLmfatiL.net
>>696
だからさ、何でも精度精度言わずに必要な要件から考えろよ

700 :774ワット発電中さん:2014/06/25(水) 02:37:26.92 ID:KYxj6qiZ.net
>>697
チップ内蔵センサーはダイオードだって知っているよね?

> 温度センサは内部のダイに組み込まれていて熱的距離が遠い
勘違いしているとしか思えん

701 :774ワット発電中さん:2014/06/25(水) 02:43:14.88 ID:KYxj6qiZ.net
>>698-700
最近のチップ内蔵センサーは、FPGAへの電源制御にも使われるって知っているよね?

702 :774ワット発電中さん:2014/06/25(水) 03:01:12.30 ID:Gns0X4R4.net
>>701
なにが言いたいかわからないから具体的に±8℃で困る用途をあげてくれ

あと外付けADC用のダイオード端子出てるからそこにADC付ければ?
それでも精度は±数度レベルだけど

703 :774ワット発電中さん:2014/06/25(水) 08:47:50.49 ID:1YVIP7mf.net
>>702
おまえ、まさか熱設計したこと無いのか?

デバイス85℃、気温40℃、温度差45℃。
この内の1/3を誤差が占める
この意味がまさか分からない筈はないよな?

704 :774ワット発電中さん:2014/06/25(水) 09:30:10.03 ID:fTcOATSx.net
>>703
電源制御とやらはどこへ行っちゃったんだ?
で、設計段階の評価に使うつもりならそう言う用途のセンサじゃ無いで終わりだが
より高精度なADC外付けしたら?

705 :774ワット発電中さん:2014/06/25(水) 21:37:26.86 ID:RZYeu4rJ.net
>>703
ほんじゃ何%ならいいの?
ダイ上のDiの配置場所によっても何度も違うというのに。

706 :774ワット発電中さん:2014/06/25(水) 21:59:26.88 ID:vxCetqln.net
それで?

707 :774ワット発電中さん:2014/06/26(木) 02:02:33.60 ID:Bk3AzABi.net
>>703
そもそもチップ内蔵センサは 気 温 測 る た め の も の じ ゃ な い って
さんざんみんな言ってるのにまだわかんないの?

測りもしないものとの温度差がいくらあっても関係ないじゃん
チップ表面温度が85℃、太陽の表面温度が6000℃、温度差5915℃
誤差の占める割合は0.1%
このバカさ加減がまさか分からない筈はないよな?

708 :774ワット発電中さん:2014/06/26(木) 04:15:44.81 ID:BucTC56e.net
何で表面温度が出てくるの?

709 :774ワット発電中さん:2014/06/26(木) 08:04:21.79 ID:KO3IZ32I.net
太陽コロナは100万℃・・・って意味わかんねえよな

710 :774ワット発電中さん:2014/06/26(木) 08:39:20.93 ID:sOJ/RIzr.net
>>707
どこに表面温度ってかいてあるの?

711 :774ワット発電中さん:2014/06/26(木) 12:10:52.17 ID:Bk3AzABi.net
あぁ、わかったよ
このスレで、くだらない事でいちいち紛糾する原因
アスペが紛れ込んでるからだな

>>707なんて、考える事もバカらしい事の例示として挙げてるのに
それがいちいち例として正しいかどうかとか、意味があるかどうかとか
そんなの気にするのはアスペしかいない
普通のヤツなら「pgr何バカ書いてんだよww」で終わりで、いちいち中身にまで突っ込まない

アスペ相手は疲れるから撤退するわ
はいはい。あんたが正しいよ。オレが間違ってたよ。
ほら、これでいいだろ?

712 :774ワット発電中さん:2014/06/26(木) 12:19:54.54 ID:XNyd4kza.net
勝利宣言来ましたー

713 :774ワット発電中さん:2014/06/26(木) 12:20:58.98 ID:XNyd4kza.net
ていうか差別レッテル貼りとかさ、死ねよ

714 :774ワット発電中さん:2014/06/26(木) 20:42:30.05 ID:fTcnQZDh.net
>>711
幸せな奴だなぁ〜
たぶんおまえだろうが、>>707というアホが紛れ込んでいるという空気感、わかんないのかなぁ〜。

だからみんな揚げ足取って、おちょくっているんだけどなぁ〜

715 :774ワット発電中さん:2014/06/26(木) 20:47:09.05 ID:Ya4FaCEm.net
>>714
>>703 がおかしいとは思わないの?

716 :774ワット発電中さん:2014/06/26(木) 23:00:48.04 ID:fTcnQZDh.net
>>703のおかしいところ教えてくれ

717 :774ワット発電中さん:2014/06/26(木) 23:07:58.70 ID:Ya4FaCEm.net
>>716
>>703 が何を意図してたのかさっぱり分からん

718 :774ワット発電中さん:2014/06/27(金) 08:29:38.37 ID:48YC5+9B.net
「おかしい」と「意図」とでは意味が違う。
小学校からやり直して来い。

終了

719 :774ワット発電中さん:2014/06/27(金) 11:53:00.30 ID:+hNbrxh4.net
おかしい点を指摘するのが不可能な記述だ、ということすらわからない
小学校以下のバカが、何故か偉そうですw

720 :774ワット発電中さん:2014/06/27(金) 15:00:00.97 ID:KmYmWf1B.net
>>718
無関係な(と思われる)ものを並べて意味が分かるか?と言われても
頭の固くなってしまった俺にはなぞなぞを解くことは難しい

721 :774ワット発電中さん:2014/06/27(金) 16:32:20.91 ID:7e849UZY.net
詭弁vsキチガイ

722 :774ワット発電中さん:2014/06/27(金) 16:51:49.01 ID:KmYmWf1B.net
>>720
>>720>>703 のことな

723 :774ワット発電中さん:2014/06/27(金) 18:32:43.81 ID:mUe20CY0.net
議論を成り立たせるためには、
相手の文章を理解する読解力と、
自分の言いたいことを伝える文章力と、
相手の人格を尊重する能力が必要らしい。

724 :774ワット発電中さん:2014/06/27(金) 22:37:52.23 ID:KqDaNXdV.net
どうあっても同一人物したがる奴 >>722

725 :774ワット発電中さん:2014/06/27(金) 22:39:32.38 ID:KqDaNXdV.net
>>723
2chでそれを期待するのは無理

726 :774ワット発電中さん:2014/06/27(金) 23:36:05.90 ID:jcLKhoV6.net
すぐ横道に逸れて人格攻撃合戦になるね
FPGAの話しろよw

727 :774ワット発電中さん:2014/06/28(土) 03:14:01.46 ID:kHP2feQX.net
趣味でFPGAやってる奴らは評価基板を拡張して使ってるの?それとも、ある程度になったらオリジナルのパターンで発注してるの?

728 :774ワット発電中さん:2014/06/28(土) 07:44:22.51 ID:91Qq8riz.net
自分はまだ評価基板のみ。
自前パターンは、電源とかコンフィグとか、面倒なことが多いし。
メモリなんか、パターンと製造業者との相性(w)で動かなかったりしそうだし、
シミュレーションしても条件指定できるような業者は料金高いし。
Raspberry Pi からコンフィグできるようにしたいな、とかは思うんだけど。

729 :774ワット発電中さん:2014/06/28(土) 10:26:23.00 ID:s+f5pI8f.net
シミュレーションって、IBIS?
パターン設計だけで100万円コースだね。
もう趣味の世界を超えてる。すごいや。

730 :774ワット発電中さん:2014/06/28(土) 11:33:52.88 ID:yxhIRHGw.net
初心者です。

FPGAに、ADコンバーターが載らないのは、なぜでしょうか?
マイコンでは当然のように載っています。
ザイリンクスの新型で、一部あるらしいですが、自分の制御用です、とのことで、積極的ではありません。

何か理由があるのでしょうか?

731 :774ワット発電中さん:2014/06/28(土) 11:54:12.00 ID:X4ERkwyi.net
1Gspsくらいで12bitは欲しいね

732 :774ワット発電中さん:2014/06/28(土) 11:54:53.89 ID:+tN0FlTV.net
高速ADCなんて価格高騰の原因になる

733 :774ワット発電中さん:2014/06/28(土) 12:07:45.48 ID:yxhIRHGw.net
別に、それほど高速でくてもいいと思うんです。
4個とか8個とか入れるだけで、FPGAの使い道が拡がると思います

734 :774ワット発電中さん:2014/06/28(土) 12:15:36.79 ID:+tN0FlTV.net
高速でなくていいならマイコンを使う人の方が多いと思うね

735 :774ワット発電中さん:2014/06/28(土) 12:44:50.70 ID:P6GtUDcA.net
製造的にアナログとの混合はコスト上がるんだろうなぁ、それとFPGAからのノイズがひどいとか?

736 :774ワット発電中さん:2014/06/28(土) 14:02:21.82 ID:yvJSnpDI.net
好きなADCつなげば済むことじゃん。
用途によって全く要求仕様が異なるようなもの付けても邪魔なだけ。

737 :774ワット発電中さん:2014/06/28(土) 15:06:50.25 ID:aDaLCQBW.net
FPGAにDCDCが付いていない理由がわからないです!!

738 :774ワット発電中さん:2014/06/28(土) 15:39:17.43 ID:9281GQNa.net
メーカー:FPGAにADC付けました。
客:なんでDACついてないん?
メーカー:要望に応えてDACも付けました。
客:なんでアイソレーションじゃないん?
メーカー:・・・

739 :774ワット発電中さん:2014/06/28(土) 16:12:43.39 ID:Oa19htlz.net
チップのなかでコイルを?

740 :774ワット発電中さん:2014/06/28(土) 16:57:41.21 ID:NyeWHpVD.net
ADCなんて載せるスペースがあるならもっとLUTとRAMを載せろ

741 :774ワット発電中さん:2014/06/28(土) 17:48:27.60 ID:s+f5pI8f.net
>>737
それは何となく解る。
3.3V 単一にして欲しい。
あと、ROM外付けも面倒い。
Spartan3ANは良かった。

742 :774ワット発電中さん:2014/06/28(土) 20:02:11.76 ID:jZ49oAaX.net
>>728
> 相性(w)で動かなかったりしそうだし、
> シミュレーションしても条件指定できるような業者
そんなに厳密なもんでないよ。
基板インピーダンスはそんなに正確に作れないし。
インピーダンスコントロールしなくても、そこそこいけるよ。

743 :774ワット発電中さん:2014/06/28(土) 20:11:00.65 ID:jZ49oAaX.net
>>733
ΔΣ型(ΣΔ型だっけ?)を調べてみな。
fpgaに実装できるよ

744 :774ワット発電中さん:2014/06/28(土) 21:11:28.80 ID:FSeNSd3j.net
>>741
もともと発熱の多いデバイスでLDO内蔵したら熱量どうなるんだろ?w
スイッチングだと製造プロセスの問題でコイル外付けでコア電圧生成って構成になりそうだよね。

容量小さいデバイスなら内臓でもいいんだろうけどさ。
小さい容量のシリーズって単価が安くて大して儲けがないじゃない。
シリーズ増やすとメンテ大変でさらに利益出ないしさ。
まぁメーカのエゴだけど、赤字になってまで継続する企業はないよね。

745 :744:2014/06/28(土) 21:12:19.02 ID:3D6v0rcP.net
>>733
精度が要らないならフラッシュ型ADCも調べてみ。
ΔΣ型よりシンプル。

746 :774ワット発電中さん:2014/06/28(土) 21:18:20.38 ID:3D6v0rcP.net
>>744
> スイッチングだと製造プロセスの問題でコイル外付けでコア電圧生成って構成になりそう

Freescaleの i.MX2xシリーズには、コイルは外付けだけど既に DC/DC内蔵があるよ。
FPGAにもその内出てくるかもな。

747 :774ワット発電中さん:2014/06/28(土) 21:36:43.16 ID:Ua2ahVRr.net
6PinのADでも外付けしよう

748 :774ワット発電中さん:2014/06/28(土) 22:07:29.01 ID:EDmI5cEy.net
MicrosemiのSmartFusionにはADCあったけど
2では無くなったんだよなー
やっぱり需要無い?

749 :774ワット発電中さん:2014/06/28(土) 22:43:52.51 ID:6JGcuAWO.net
特定のスペックを使用するユーザが多ければ積む価値も増すのかしら

750 :774ワット発電中さん:2014/06/28(土) 22:48:29.54 ID:aDaLCQBW.net
100万個買ってくれるなら

751 :774ワット発電中さん:2014/06/28(土) 22:53:22.56 ID:Oa19htlz.net
継続的にな。

752 :774ワット発電中さん:2014/06/29(日) 00:41:13.27 ID:WhH0qh0E.net
ADは製造プロセスが違う。

753 :774ワット発電中さん:2014/06/30(月) 21:37:10.67 ID:2v4ETEL8.net
>>752
そうか?
ピンは高速コンパレータの固まり。
ΔΣ型なら条件はもう揃っている気がするな、おまけ程度の性能で良ければ。

754 :774ワット発電中さん:2014/06/30(月) 23:27:47.45 ID:b90/iZay.net
Quartus II v14.0
ttp://www.altera.co.jp/corporate/news_room/releases/2014/products/nr-quartus-ii-v14-0-altera.html

755 :774ワット発電中さん:2014/07/01(火) 10:50:04.61 ID:Ys2NIMYr.net
13.1をDL中なのに14.0かよ

756 :774ワット発電中さん:2014/07/01(火) 12:59:22.99 ID:xyZiJhNP.net
Cyclone III も切られた、じわじわと外してくる感じ
Xi みたいにバッサリと切ってこないのは 好感だな。

757 :774ワット発電中さん:2014/07/01(火) 19:47:31.61 ID:ZueJJr8+.net
>>756
12.1が永久保存版になったよorz

758 :774ワット発電中さん:2014/07/12(土) 23:11:19.89 ID:CTPM3lHim
iCEstick Eval Board ってどうなの

759 :774ワット発電中さん:2014/07/13(日) 11:38:33.35 ID:nlkD9M2+.net
誰か教えて。

Quartus II Web EditionでMegaWizardって無料で使えるのかな?
CycloneでDDR2 I/Fを使いたいんだが....

>>754
インストールしようとしたら32bitOSはダメって怒られた。

760 :774ワット発電中さん:2014/07/13(日) 12:40:47.03 ID:kM7jI7OO.net
>>759
>>757

761 :774ワット発電中さん:2014/08/10(日) 18:25:36.94 ID:Z2c1pXxO.net
Quartus II Web Edition 13.0sp1 の MegaWizard で DDR SDRAM のコントローラを作成しようとしたんだけど、
選択はできるのに次に進めない。これも >>757 なの?

762 :774ワット発電中さん:2014/08/12(火) 06:42:08.29 ID:OASi0mKR.net
>>761
アキラメロン
頑張って12.1をDLすべし

763 :774ワット発電中さん:2014/08/12(火) 07:53:39.16 ID:r10htnNN.net
Subscription-Edition の方なら
13.0sp1までは "初代Cyclone" もサポートしてる。

764 :774ワット発電中さん:2014/08/12(火) 11:20:25.04 ID:chrpE5bQ.net
Quartus v13のRTL Viewerは多bit入力1bitselのセレクタが無くなって
致命的に見にくいのでv12.1がおすすめ

765 :774ワット発電中さん:2014/08/12(火) 15:07:03.99 ID:vVPmsMgZ.net
初代Cycloneをサポートしているweb版は12.1までで、12.1sp1では非サポートってこと?

766 :774ワット発電中さん:2014/08/12(火) 15:47:57.86 ID:r10htnNN.net
↓を参照すると
http://dl.altera.com/devices/
Web-Editioで初代Cycloneをサポートしているのは、11.0sp1 まで

767 :774ワット発電中さん:2014/08/12(火) 19:37:36.88 ID:f6Z+Io8Q.net
>>766
ありがと! 11.0sp1か

で,なんで12.1sp1じゃなく12.1に必死しているんだ?
12.1sp1以後はRTL Viewer、MegaWizardとかが改悪されているからなのか

768 :774ワット発電中さん:2014/08/13(水) 08:58:44.79 ID:bmY3/VOV.net
確かになんで12.1なの?
12.1sp1でもDDRコントローラできたよ?

769 :774ワット発電中さん:2014/08/13(水) 19:33:26.38 ID:p29/YWIa.net
>>759
> CycloneでDDR2 I/F
初代Cycloneって、DDR2サポートしてたっけ?

770 :774ワット発電中さん:2014/08/14(木) 18:55:05.77 ID:N79cYzcz.net
あるてらで以降の中野人ってわりと自己中?

771 :774ワット発電中さん:2014/08/14(木) 18:56:30.60 ID:N79cYzcz.net
DDRコントローラ自作すれば

772 :774ワット発電中さん:2014/08/19(火) 16:16:57.19 ID:fCy6axon.net
なんとなくWeb Edition に付属の ModelSim だと制限があるのが気になって、cver とか icarus verilog で
シミュレーション環境作りたいと思った。個人の遊びなんでそこまで大きな回路を書くかはわからんけど。

適当な PLL 作成して altera_mf.v を加えてシミュレーションしてみたけど、cver でも iverilog でもエラーになる。
フリーの環境で IP 含んだシミュレーションてできないのかな。PLL ぐらいだったらテストベンチに適当な
クロック用意すればよさそうだけど、メモリとかはつらい。

773 :774ワット発電中さん:2014/08/20(水) 08:33:42.97 ID:bSdOzkhb.net
>>772
アホ?
PLLはアナログ回路、論理シミュが最も不得意とするもんだ。

774 :774ワット発電中さん:2014/08/20(水) 08:46:26.65 ID:oDGn4vDK.net
>>773
アナログシミュしたいとか言ってないと思うが、よくそんな誤読できるな。
中身ブラックボックスなIPを含む回路をフリーなシミュレータで扱いたいって話でしょ。

775 :772:2014/08/20(水) 10:46:21.54 ID:+0uV63Uw.net
フォローさんくす。そういう話です。
入出力が単純だから PLL で試しただけなんだけど、例が良くなかったかな。

776 :774ワット発電中さん:2014/08/20(水) 12:48:16.18 ID:ZqSy2t40.net
altera_mf.vの中身を確認せずに適当な PLL 作成とか、やはりアホ

777 :774ワット発電中さん:2014/08/21(木) 02:17:23.16 ID:lj47Iz3P.net
>>775
VHDL/verilog使って自分でPLLを実装しろ。FPGAでもデジタル信号処理するのにDPLLはよく使われている

778 :774ワット発電中さん:2014/08/21(木) 08:29:53.19 ID:5OVY0D6C.net
>>777
いやPLLは作れそうでメモリとかが難しいって言ってるじゃん

779 :774ワット発電中さん:2014/08/21(木) 08:37:22.60 ID:7OIOF6re.net
>>778
メモリなんてネットにモデルが転がっているだろ。
SDRAMならMicronが沢山出してるわ。

780 :774ワット発電中さん:2014/08/21(木) 08:40:47.79 ID:5OVY0D6C.net
>>779
内部メモリとかFIFOの話だと思うんだけど、micronはそんなモデルまで作ってくれてるの?

781 :774ワット発電中さん:2014/08/21(木) 08:43:46.79 ID:5OVY0D6C.net
しかもaltera IPに互換の形で。

782 :774ワット発電中さん:2014/08/21(木) 10:33:47.73 ID:GExBCZnB.net
SDRAMって書いて有るじゃん
馬鹿なの

783 :774ワット発電中さん:2014/08/21(木) 12:51:04.13 ID:61XOKlu3.net
>>780
何を今更、アホなの?

外部SDRAMがあるシステムで、今までどうやってシミュしてたの?
笑ってあげるから晒してみなw

784 :774ワット発電中さん:2014/08/21(木) 13:22:55.61 ID:5OVY0D6C.net
>>783
>>772,774を読んでどうして外部SDRAMの話が出てくるの?
バカなの?

785 :774ワット発電中さん:2014/08/21(木) 15:16:35.36 ID:Gg8MUQls.net
>>772
なんでPLLのシミュで突然メモリの話でるんだ?コミュ障?
メモリのシミュ記述が分からないって嘆いているのかな

786 :774ワット発電中さん:2014/08/21(木) 17:30:57.40 ID:SGr9Mm1D.net
>>785 は、コミュ障というより知障

787 :774ワット発電中さん:2014/08/21(木) 21:16:11.52 ID:4I/3vSBQ.net
>>784
icarus verilog使おうって奴が、まさか内部メモリでもたつく低レベルとは思わないからw

788 :774ワット発電中さん:2014/08/23(土) 04:56:35.18 ID:vWMTOQzy.net
- 自作モデル + フリーのシミュレータ
- ベンダモデル + 有償のシミュレータなりmodelsim AE
使い分けるしかないだろうなぁ。

自作モデルをどうしても使いたくないなら、modelsimを学w(おっとだれか来たようだ

789 :774ワット発電中さん:2014/08/23(土) 21:47:21.86 ID:nWgVnUYr.net
それ面白いとでも思ってるの?

790 :774ワット発電中さん:2014/08/23(土) 21:57:19.33 ID:Q8f5u/qA.net
DE0ってボード買ってきた、まずは何しようかな

791 :774ワット発電中さん:2014/08/23(土) 22:12:47.77 ID:kiecTrri.net
音楽用CODECを作ったことのある人いますか? 
今度作ってみようと思っているのだけど難しいかな

792 :774ワット発電中さん:2014/08/23(土) 23:10:06.68 ID:vWMTOQzy.net
期待値モデル作って比較できるならそんなには難しくないと思うけど、
よほど性能が厳しいんじゃなければ全部RTL化せずにNIOSの拡張命令だけ作るとかそういう手を使った方が楽。

793 :774ワット発電中さん:2014/08/24(日) 10:52:13.94 ID:gGuR4yCY.net
>>791
G.711 なら実装した。

794 :774ワット発電中さん:2014/08/24(日) 13:38:59.22 ID:0vsScelH.net
>>790
まず俺に頂戴(ヽ゚д)クレ

795 :774ワット発電中さん:2014/08/24(日) 14:10:47.79 ID:2vk5muYB.net
793>> G.711 の何でしょうか? A-Law, μ-Law ぐらいはわかります
例えば、wav ファイル何かをスピーカで再生してみたと思っています
デジタルフィルタやΔΣDACとかやってみようかと考えています

796 :774ワット発電中さん:2014/08/24(日) 14:55:36.47 ID:4J4SQB9H.net
1行でも収まりそうな、簡単なヤツだ

797 :774ワット発電中さん:2014/08/27(水) 02:16:18.97 ID:8jbf852o.net
ΔΣDAC
ttp://www.xilinx.com/support/documentation/application_notes/xapp154.pdf

798 :774ワット発電中さん:2014/08/28(木) 08:49:18.29 ID:Yr6bXmNj.net
MAX10の詳細まだかよ

799 :774ワット発電中さん:2014/09/14(日) 15:57:20.11 ID:C1JIXctf.net
中国が、お前らカネに弱いって言ってるぞ
http://sankei.jp.msn.com/economy/news/140828/biz14082808040003-n1.htm
朝日みたいな下衆になるなよ

800 :774ワット発電中さん:2014/09/14(日) 15:57:58.02 ID:JK3FuNqU.net
産経のようなゲスも似たようなもんだ

801 :774ワット発電中さん:2014/09/30(火) 20:10:03.64 ID:02proG3x.net
朝日も産経も飛ばしでは同じようなものだと思うけど。

産経の記事読んだけど高性能FPGAって輸出規制されているの?
輸入されてる中国製の測定器には普通にALTERAのFPGA載ってるんですけど・・・。
いったいどっちが正しいんでしょうかね。

NICTの研究してる3Dテレビなんて無駄だし必要ないしやるべきじゃないですね。
共同開発参加してるNICTの方も問題でしょうけど、3Dカメラでミサイル操縦なんてやってるんでしょうか。
高速で飛び、しかもロングレンジで目標がはるか遠方で見えないのにどうやってカメラのみで制御するんだろうか。
3DTVをパクリたいだけなのかな?

802 :774ワット発電中さん:2014/10/03(金) 09:46:48.18 ID:GmgukeSl.net
ついに腕時計までFPGA搭載、シチズン時計がGPSウォッチに採用
http://techon.nikkeibp.co.jp/article/NEWS/20141002/380196

米Lattice Semiconductor社は、同社の低消費電力FPGA「iCE40」が、シチズン時計の
GPS衛星電波腕時計「エコ・ドライブ サテライト ウエーブ F100」に採用されたと発表した。

803 :774ワット発電中さん:2014/10/03(金) 16:35:11.74 ID:dFN651lm.net
開発期間の利点か。再構成でバージョンアップとかないのかな

804 :774ワット発電中さん:2014/10/03(金) 19:39:42.51 ID:lavunSrb.net
>>802
多機能なウェアラブルに発展していくのかね。

805 :774ワット発電中さん:2014/10/03(金) 21:08:44.37 ID:KfBT+w02.net
馬鹿丸出し

806 :774ワット発電中さん:2014/10/03(金) 22:25:02.45 ID:k1SOiqAL.net
なぜか通ぶってvim使ってしまう、でもまあ慣れた。
 
でも便利なエディタあれば教えてほしいです。

807 :774ワット発電中さん:2014/10/04(土) 08:05:15.21 ID:wf+H4UBL.net
「入門vi」読みながら全部演習、
「実践vim」読見ながら全部演習、
8皮ぐらい剥けるぞ

808 :774ワット発電中さん:2014/10/04(土) 13:20:36.26 ID:yZqKcpLx.net
・・・つまりvimが至高というわけですね

809 :774ワット発電中さん:2014/10/04(土) 19:21:49.03 ID:IAwV7pj6.net
そこは秀丸エディタだろ

810 :774ワット発電中さん:2014/10/04(土) 19:47:43.71 ID:rBQ0+efm.net
俺はgvim

811 :774ワット発電中さん:2014/10/04(土) 22:34:48.05 ID:yZqKcpLx.net
俺はkaoriyaさんとこの使ってる

812 :774ワット発電中さん:2014/10/05(日) 12:41:32.41 ID:Hd22cqrQ.net
俺はNotepad++

813 :774ワット発電中さん:2014/10/05(日) 13:55:45.51 ID:wpJ1fyi/.net
sublimetext オヌヌメ

814 :774ワット発電中さん:2014/10/05(日) 14:00:02.36 ID:B8BZEFvU.net
>>812 Notepad++ は使っているよ
あとはサクラエディタを良く使っている 

815 :774ワット発電中さん:2014/10/05(日) 14:30:54.13 ID:QlAovthx.net
長らくNotepad++使ってたけど、今はTeraPad。

816 :774ワット発電中さん:2014/10/05(日) 15:41:07.16 ID:S5L3VJXZ.net
>>813
なんか面白そう

817 :774ワット発電中さん:2014/10/06(月) 08:29:41.94 ID:Fm88O9Iv.net
PSoC3/5LPで遊んでるけど、自分で好きなロジックをVerilogで書いて
DMAやCPUと連携できるのは確かに便利。

818 :774ワット発電中さん:2014/10/07(火) 00:51:23.60 ID:XpQp4JiK.net
>>813
高けえよ〜〜70$

819 :774ワット発電中さん:2014/10/07(火) 04:35:30.59 ID:mM4qSPeI.net
じゃこれは?
http://www.elefine.jp/SHOP/Saturn-45.html

820 :774ワット発電中さん:2014/10/12(日) 23:41:40.87 ID:tZyRis70.net
Cyclone II で 16bit x 512word のメモリを MegaWizard で作ってみた。
とりあえず繋げて合成してみると、警告いっぱい出るものの一応は成功するんだが、
Summary 見ても Total memory bits が 0% になってる。メモリの使用量ってどこに出るの?
大きすぎて実はできてないとかあるのかな。
ちなみにデバイスは EP2C8Q208C8。

821 :774ワット発電中さん:2014/10/13(月) 00:25:38.20 ID:oI+tsXrf.net
>>820
とりあえず繋げた回路の論理が動かなくて合成で消えちゃったとか?
警告メッセージ読めば何かわかるんじゃないかな

822 :774ワット発電中さん:2014/10/13(月) 11:52:04.40 ID:vc2ndUtr.net
どこかのオプションで「使ってない物を消さない」ようにしないと
最終的にRAMからの出力をピンに出さないと芋づる式に消えて無くなるよ
入力データを書くだけではRAMを使ってないと見なされる
取りあえずRAMのDoutを全部orしてどっかのピンに出しとけばおkのはず

823 :774ワット発電中さん:2014/10/13(月) 12:15:09.26 ID:7I1+q1w1.net
アドレス線も全部使っておかないと、一部でも固定だとワード数減らされるよ

824 :774ワット発電中さん:2014/10/13(月) 13:00:46.77 ID:bpZP9lOQ.net
使ってないならなくなっても構わないんじゃ?

825 :774ワット発電中さん:2014/10/13(月) 17:06:41.62 ID:txD3y4NE.net
>>822
これでした。内部だけで使う予定なのでオープンにしてた。
とりあえず外にピン出してみたらエラーになった。やっぱり大きすぎるみたい。
ちなみに用途は VRAM。SDRAM つけるしかないのかなー。
SSRAM も調べてみた。ポートが2つにわかれてるってことで脳汁出かけたが、
デュアルポートみたいに使えるわけではないのね。

826 :774ワット発電中さん:2014/10/13(月) 19:44:52.44 ID:VXtPMffl.net
16bit x 512word > 4K ビット x 36 ???

827 :774ワット発電中さん:2014/10/13(月) 20:57:28.32 ID:txD3y4NE.net
あー、間違えてた。16bit x 512「Kword」です。

828 :774ワット発電中さん:2014/10/14(火) 08:16:33.44 ID:j+H2U+Wf.net
欲張らずにモノクロにしとけ

829 :774ワット発電中さん:2014/10/14(火) 09:13:55.06 ID:hFmOhouK.net
それがいいかもしれない。無理せずに段階踏んで行くことにします。まずはグラデーション表示から。

830 :774ワット発電中さん:2014/10/14(火) 12:14:19.15 ID:9b/g1+v0.net
RGBでなく、YGbGr使えばビット数を減らせわらる。

831 :774ワット発電中さん:2014/10/14(火) 16:02:24.24 ID:6yNQminL.net
YGbGrとは斬新だな。
ベイヤーのような色差のような。

832 :774ワット発電中さん:2014/10/14(火) 20:19:12.45 ID:TfKrFrir.net
斬新?
画処理の基本でないの?

833 :774ワット発電中さん:2014/10/14(火) 20:37:52.19 ID:X3ujLL1f.net
アナログ時代のテクニックがベースにあるような知識がごっそり抜けている世代が増えてきてるっちゅーことでは?

834 :774ワット発電中さん:2014/10/14(火) 20:48:02.38 ID:btX9Q/AH.net
>>830
YCbCrだろ?

835 :774ワット発電中さん:2014/10/14(火) 22:03:19.56 ID:JTa2lZlp.net
まずはデータシートをちゃんとチェックするところからではなかろうかw

836 :774ワット発電中さん:2014/10/14(火) 22:12:34.06 ID:Hid9mA7f.net
Cyclone2だと、最大サイズのEP2C70でM4Kブロックが250個、つまりは1.1Mbitぐらいだった筈だが…

837 :774ワット発電中さん:2014/10/15(水) 11:08:38.56 ID:fz1WprZI.net
>>832-833
何か言うことはないですか?

838 :774ワット発電中さん:2014/10/15(水) 12:50:33.77 ID:xti1on7N.net
何を聞きたいの?

839 :774ワット発電中さん:2014/10/15(水) 13:23:18.83 ID:uFX18447.net
お風呂に入ったとき、どこから洗うか

840 :774ワット発電中さん:2014/10/15(水) 13:43:30.70 ID:d8UlhB+u.net
チンコ洗う→射精→洗う→射精→…

841 :774ワット発電中さん:2014/10/15(水) 15:06:24.16 ID:LeHJaAMz.net
YGbGrって輝度情報ばっかりだな

842 :774ワット発電中さん:2014/10/18(土) 09:50:34.05 ID:6kdPE+aJ.net
MAX10は値段相応だな

843 :774ワット発電中さん:2014/10/20(月) 16:06:49.93 ID:m6bARvCy.net
いい転職先ないかな

リクナビからスカウト来たんだけど、ア●ンリツが事業本部長からメール出しておいて本人は経歴読んでなく委託先ですらよんでないとか色々酷くて、もういやだ。

844 :774ワット発電中さん:2014/10/20(月) 16:42:01.20 ID:AAHomhVy.net
派遣企業なら即内定なんだよなぁ〜〜

845 :774ワット発電中さん:2014/10/20(月) 17:43:31.48 ID:tBOEFnid.net
 パナやルネなど一部上場の超大手企業が身を削る規模のリストラしている時代に簡単に転職は厳しいよ。
本人は日本企業の中で一流の技術者だとの自負を持っていても、年齢や経歴が求人企業のそれとは
一致しないことはよくあるよ。
 欲しい企業側の要望と自信のある個人のプライドは、そう簡単に妥協点を見いだせないのが普通。
転職会社の戯言には、あまり耳を貸さない方が良い。

846 :774ワット発電中さん:2014/10/20(月) 18:03:48.06 ID:m6bARvCy.net
ルネに派遣はしたくないな。ひどい現実は見たから

つうか日本企業だめじゃね

転職コンサルタントとか明らかに中身派遣とかブラック紹介してきて面倒


FPGA技術者の処遇を全体的に底上げするにはどうしたらいいんだろう

847 :774ワット発電中さん:2014/10/20(月) 18:16:47.43 ID:J+Rk5p+m.net
    |
       \  __  /
       _ (m) _ピコーン
          |ミ|         俺たちで会社を作ればいいんだ!
        /  `´  \
         ∧ ∧
        (・∀ ・)
        ノ(  )ヽ
         <  >

848 :774ワット発電中さん:2014/10/20(月) 18:31:37.92 ID:+Xk1tAde.net
そもそもFPGAに将来性があるのか?
ARMじゃないの?

849 :774ワット発電中さん:2014/10/20(月) 18:45:48.81 ID:af8Fkp2y.net
FPGAはシーケンス制御だとCPUより遅くて面倒。
ヘンテコIOはしょうがない。

850 :774ワット発電中さん:2014/10/20(月) 18:46:25.38 ID:AAHomhVy.net
ロボット開発してみなイカ?

851 :774ワット発電中さん:2014/10/20(月) 20:02:49.51 ID:o5mthk2s.net
>>844
> 派遣企業なら即内定
随分前の経験だけど、ハローワークからの紹介がそうだったな。
いきなり面接したいと言うし、ホームページは簡単なものしか無くて、おかしいなと思ったのを覚えてる。
ハローワーク、使えないw

頼りになるのは民間の人材紹介会社だね。

852 :774ワット発電中さん:2014/10/20(月) 21:23:24.49 ID:AAHomhVy.net
>>851
ほんとそれ。
自社開発なんとか、とか。
製品開発うんたら、とか。
嘘ばー――っかりなHPもあるし怖いよね。

853 :774ワット発電中さん:2014/10/20(月) 22:35:16.31 ID:w3L7idoF.net
起業前「派遣嫌だ!技術者のための会社を…」
起業後「人売りうめえ〜」

854 :774ワット発電中さん:2014/10/21(火) 00:40:19.90 ID:VaTHLWsP.net
>>846
>つうか日本企業だめじゃね

日本だけでなく

IBM、半導体生産から撤退へ 15億ドル支払い事業を譲渡
http://www.sankei.com/economy/news/141021/ecn1410210005-n1.html
結局、半導体事業とくにディジタルは極端な寡占状態になるんだよな。
微細加工は行き着くとこまでいったし、3Dに活路を見いだすしかなく、
技術そのものの先が見えたかな?

855 :774ワット発電中さん:2014/10/21(火) 01:41:20.81 ID:SMj155e6.net
ジリ貧で寡占となれば幾つかのシナリオが考えられるけど
作り手側に有利な売り手市場にはなりにくいふいんきだなもし

856 :774ワット発電中さん:2014/10/21(火) 08:16:13.27 ID:ZMnRjs78.net
日本IBMの元社長って痴漢で捕まったよね
あと遠隔操作の父親も人事だったり謎が多い

857 :774ワット発電中さん:2014/10/21(火) 08:40:35.30 ID:VaTHLWsP.net
日本IBMはOne shor RS符号デコーダとかおもしろい研究成果があったけど
長期の経営低迷で独自の技術開発とかできる海峡にあるのかな?
もう、米国主導で営業しかやってないんじゃね?
解雇にまつわる話もいい話聞かんしな

858 :774ワット発電中さん:2014/10/21(火) 09:23:48.59 ID:Djfcaumg.net
>>852
> 自社開発なんとか、とか。製品開発うんたら、とか。
ハローワークに求人で、程度の察しがつくw

その点、企業が本気の求人を出す。大手人材紹介会社は違う。
気がつけば上場企業を渡り歩いてるし、年収も増えてるし。

>>843
> リクナビからスカウト来たんだけど、
リクナビと同じ会社の別サービス「リクルート・エージェント」に登録してみな。

859 :774ワット発電中さん:2014/10/21(火) 23:03:28.02 ID:0EGOIveV.net
リクルートエージェントろくなのないんだが

見込み残業のジッテ紹介された時点で引いた

いま、エージェントなしで募集中の、シーデックスは、見込み残業付きでルネサスか日立に派遣されるので注意。
普通の派遣会社行ったほうがいい

860 :774ワット発電中さん:2014/10/22(水) 08:27:53.23 ID:nRBZD5YE.net
>>859
スキルが足りなくて、閲覧制限に引っかかってるんじゃね?

861 :774ワット発電中さん:2014/10/22(水) 09:03:56.44 ID:Ft7cWSL4.net
紹介された案件で、就業時間が9:00〜15:00って書いてあるんだけど、これで契約したら実質5時間勤務でイケるかな?

862 :774ワット発電中さん:2014/10/22(水) 09:52:42.43 ID:ouWlZYoO.net
コアタイムじゃないの?

863 :774ワット発電中さん:2014/10/22(水) 12:42:11.34 ID:Ft7cWSL4.net
多分そうだろうけど、「就業時間」と書いてある。
ゴネれば勝ち取れるか?

864 :774ワット発電中さん:2014/10/22(水) 14:04:24.67 ID:ievMGyv6.net
転職板でやれ

865 :774ワット発電中さん:2014/10/22(水) 15:17:08.26 ID:6rqLYDkY.net
Vivado2014.3入れてvivado2014.2のプロジェクトをアップデートしたが、
相変わらずツールのバージョン間の引き継ぎがクソだな。
IPアップデートで必ず何かしらエラーが出る

866 :774ワット発電中さん:2014/10/22(水) 18:59:49.49 ID:N/+fQ3Q5.net
Xのツールは糞だよ、さんざん言われてきた事。 何をいまさら
ツール&デバイス性能を天秤に掛けた上でチョイスを判断したのは自分なんだから、文句言わずにガンバレや。

867 :774ワット発電中さん:2014/10/22(水) 20:19:55.08 ID:2be6rf4R.net
エラー出してくれるだけマシだと思うんだけど

868 :774ワット発電中さん:2014/10/22(水) 21:24:04.63 ID:Hnu3Dnfb.net
転職板にFPGAあるの?

869 :774ワット発電中さん:2014/10/23(木) 03:18:36.09 ID:yQItv/JT.net
>そもそもFPGAに将来性があるのか?

おいおい、ないのかよ?

870 :774ワット発電中さん:2014/10/23(木) 04:41:12.04 ID:EZBnDh4H.net
無いな。日本企業では設計手法が古すぎて自滅。大企業の小判鮫にならないヴェンチャーが未来はあるが給与がない。大崎の財リンクに行くと受付は英語話せないやつは追い返して踏ん反り返ってる

871 :774ワット発電中さん:2014/10/23(木) 08:18:10.91 ID:jl+Bdfsj.net
FPGAは高すぎる
軍事目的で利用されるのが嫌だからだろうけどね

872 :774ワット発電中さん:2014/10/23(木) 09:30:42.80 ID:PX4rvd03.net
別に将来性も何も、もう普通の物だからな
例えばマイコンに将来性があるのか?なんて思うのか?

873 :774ワット発電中さん:2014/10/23(木) 12:39:22.33 ID:kebOVZnu.net
>>871
メーカーは嫌がってもバンバン使われてるけどな。

874 :774ワット発電中さん:2014/10/23(木) 17:08:42.61 ID:RTq4Sgit.net
FPGAの入門にこれがいいと聞いたのだけど
http://akizukidenshi.com/catalog/g/gM-07739/

秋月のトップページからここに行く方法がわからん

875 :774ワット発電中さん:2014/10/23(木) 17:22:16.29 ID:+SASP4fe.net
>>874
上のカテゴリを逆に辿ればいいだけじゃないの?

876 :774ワット発電中さん:2014/10/23(木) 17:49:26.46 ID:PX4rvd03.net
FPGAで検索すれば一発だよ

でもそれ安いだけで使いにくいよ。小さいから何か目的がないと何も出来ないし
何よりAVRで実現してるJTAGが異常に遅い。SPIフラッシュに書くのに3分くらいかかる
JTAGピンが出てないから純正ケーブルも使えん

ttp://akizukidenshi.com/catalog/g/gM-06926/
個人的には入門用にはこっちの方がいいと思うよ。色々付いてるし
CQからこんな本も出るから
ttp://shop.cqpub.co.jp/hanbai/books/48/48141.html

877 :774ワット発電中さん:2014/10/23(木) 17:52:23.47 ID:PX4rvd03.net
そんなことよりさ、AlteraのMAX10すごくね?
全然知らんかったんだけど、3.3V単一であれだけの容量でQFP144もあるから
俺のビンボー仕事にはスッゲー良さそうなんだけど
誰かいち早く使ってみた物好きはいない?

878 :774ワット発電中さん:2014/10/23(木) 20:35:50.70 ID:RTq4Sgit.net
>>876
FPGAで検索しても出ないんだよね。謎

でもそっちのほうが値段も同じくらいだし大きいのでそっちにするかな。

879 :774ワット発電中さん:2014/10/23(木) 21:36:05.43 ID:+SASP4fe.net
>>877
TQFP残してくれたのはありがたいよね。

880 :774ワット発電中さん:2014/10/23(木) 21:41:30.62 ID:gsTfoApn.net
>>871
そこでなんで軍事が出てくるかわからんが、FPGAは確かに高いな。
チップも高いし、開発費もかかる。
SoCと同じ機能が欲しければ、IPが必要でライセンス費がかかる。
なんだかんだで、SoCを組み合わせたほうが安い。

よっぼどのオリジナル機能でもない限り、FPGAは採用しづらいな。

881 :774ワット発電中さん:2014/10/23(木) 21:44:21.15 ID:gsTfoApn.net
>>877
それは楽しみだ。
3.3V単一ってことは、LVDSの受信ポートは無いのかな?

882 :774ワット発電中さん:2014/10/23(木) 21:59:20.99 ID:vzEr8r6N.net
>>881
3.3Vも対応するってだけで、単一プレーンって訳じゃない
LVDSやDDR3の1.5V SSTL対応だもの

MAX10 製品概要
ttp://www.altera.co.jp/literature/po/ss_max10_fpga_j.pdf

883 :774ワット発電中さん:2014/10/23(木) 22:03:34.35 ID:C/npxxc0.net
3.3Vのデバイスは5vのI/O直接使えないから考え物だよね、、
まあ全部3.3って言うなら別だけども。

884 :774ワット発電中さん:2014/10/23(木) 23:11:03.49 ID:5XimuSof.net
3.3V単一で使える品種もあるって感じだね
基本的には1.2と2.5Vがいるのか
QFP144は3.3V単一だな

885 :774ワット発電中さん:2014/10/24(金) 00:36:35.53 ID:hf/6V0H8.net
>880
 Socなんて容量が少なすぎて、FPGAと比較にならんだろ。WWW
Socなんて使い物になるんかよ。使ったことないんでわからんが、、、

886 :774ワット発電中さん:2014/10/24(金) 08:18:43.14 ID:Mp+3r9OR.net
どう突っ込めばいいのか困るな

887 :774ワット発電中さん:2014/10/24(金) 08:30:37.04 ID:P85unORg.net
5vのFPGAなんてあったっけ
74でレベル変換すればいいだけ

888 :774ワット発電中さん:2014/10/24(金) 08:52:58.96 ID:MU9LDVlP.net
>>886
そっとしておこうw

>>882
> LVDSやDDR3の1.5V SSTL対応だもの
それはすごいな。
MaxVは価格も良かったが、とうなんだろう。

>>883
> 5vのI/O直接使えないから
簡単に済ませるなら、入力は分圧、出力は 5V TTL直結。
レガシーな5V系は遅いから、どうとでもなる。

889 :774ワット発電中さん:2014/10/24(金) 09:29:06.52 ID:/bgw5IGp.net
cyclone4が消える要因にもなるけど一部性能が低下しているのがMAX10

890 :774ワット発電中さん:2014/10/24(金) 09:52:37.95 ID:RZypyv3D.net
55nmプロセスだから、性能はビミョーかもね
全体を100MHzで動かすのはちょっと厳しいってところかな?
でもハードマクロでDDR3までいけるんだよね
もうCy3以下は要らんって思えるな

DigiKeyとMouserに少しあるけど、談合したかのように同じ値段だ
10個や20個レベルだと結構高いね

891 :774ワット発電中さん:2014/10/24(金) 12:38:44.91 ID:LqI6947e.net
>>890
> DigiKeyとMouserに少しあるけど、
俺も見た。
MAX10、高いな。

892 :774ワット発電中さん:2014/10/24(金) 12:55:47.77 ID:fODiynK3.net
>>887
部品が多くなるからFPGA使うメリットが つうか部品点数減らしたいって言う本懐つうか
今の時代ならそれはしょうがないって言えちゃうんだろうな・・
まあしょうがないか。

893 :774ワット発電中さん:2014/10/24(金) 17:42:29.61 ID:AY4bzPzS.net
ってか、アマチュア的に5Vがどうしても必要な用途って
電力関係除けば青LEDの直接ドライブが難しいぐらいな様なww

894 :774ワット発電中さん:2014/10/25(土) 09:25:34.72 ID:ZXdN0yug.net
直列にしないで5Vの電池が出てくれば5Vが主流になるだろう

895 :774ワット発電中さん:2014/10/25(土) 09:40:14.18 ID:PazWXglk.net
5Vが流行ったのはTTL全盛の影響だろうね
今は5Vへの拘りはないよ

896 :774ワット発電中さん:2014/10/25(土) 09:42:18.32 ID:O3KFMvnw.net
>>894
つ [USB]

897 :774ワット発電中さん:2014/10/25(土) 10:32:19.93 ID:fEbKm4Zv.net
USB 普及のおかげで
AC100V から DC5V への小型変換アダプタが入手しやすくなって嬉しい

898 :774ワット発電中さん:2014/10/25(土) 11:34:22.31 ID:O+4+jAFq.net
これおもしろかったで

http://techon.nikkeibp.co.jp/article/COLUMN/20140923/378282/

899 :774ワット発電中さん:2014/10/25(土) 11:50:41.64 ID:k64RvnV8.net
デジタルはすべてソフトである。

900 :774ワット発電中さん:2014/10/25(土) 20:04:48.89 ID:sF0Xc9mD.net
>>896
それは公称電圧、実際は4.5Vくらいまでドロップすることを覚悟しないと。

901 :774ワット発電中さん:2014/10/25(土) 20:14:29.79 ID:8RwwfAvh.net
iCE40ultra最高!

902 :774ワット発電中さん:2014/10/26(日) 08:57:47.06 ID:fHd525CQ.net
MAX10は安くなったの?
あの値段ならCyclonVでいいやんw

903 :774ワット発電中さん:2014/10/30(木) 10:03:56.15 ID:N+/GqdD2.net
不満データ社長のブログが止まってるが、何があったんだろうか
愚痴を書いた客から文句が来たのか?

904 :774ワット発電中さん:2014/10/30(木) 18:32:30.32 ID:N+/GqdD2.net
MAX10の$30ボードの在庫が復活した。
欲しい人は急ぎな、昨日は300だったけどもう残り124
Shippingに$40もかかるけど合わせても\7500くらいだ
http://parts.arrow.com/item/detail/arrow-development-tools/bemicromax10

905 :774ワット発電中さん:2014/10/31(金) 00:17:14.64 ID:7dq+H6KP.net
デバイス単体より安いって
儲け出るのかなこれ

906 :774ワット発電中さん:2014/10/31(金) 02:38:25.92 ID:B/I+R7oS.net
アルテラのSOC(サイクロンV SOC)を使ってみたいけどやはり無料ツールでは
無理なんだろうね。

907 :774ワット発電中さん:2014/11/01(土) 03:14:48.94 ID:TUw5NWXB.net
教えてください。
Xilinxのマイクロブレーズは、ROM容量が4kbyte〜64kbyteらしいです。
64kbyteのマイコンを載せるには、FPGAスペックのどこを見て判断すれば良いでしょうか?

908 :774ワット発電中さん:2014/11/01(土) 10:33:13.15 ID:lmzhFDBl.net
>>907
BlockRAMが何個搭載されているかで判断。

909 :774ワット発電中さん:2014/11/01(土) 23:04:14.65 ID:P3T1dRyv.net
>>907
RAMも数えとかなかだぞ
てかコードサイズの見積は大丈夫?

910 :774ワット発電中さん:2014/11/01(土) 23:06:08.33 ID:jBCcNUja.net
いまどきはマイコンのIPってその辺に転がってるもんなのか?w

911 :774ワット発電中さん:2014/11/01(土) 23:07:39.69 ID:jBCcNUja.net
あ、もしかしてCortex-M1のこと??

912 :774ワット発電中さん:2014/11/02(日) 03:41:31.14 ID:9vss9pFm.net
転がってるけど使えないだろ。SOCで開発環境も万全で手取り足取り準備して
くれていても使うのは至難(俺的には)、ましてIPからなんてどだい無理
な話。
 マイコンなんて外部において切り分けた方がはるかに簡単だ。
 

913 :774ワット発電中さん:2014/11/02(日) 04:21:27.34 ID:LLfDfJlG.net
Z80互換コアなら数種使ってみた事はある

懐かしいね、うん、そうだね…

ぐらいの感想しか無いのがアレだったけど…orz

>>910
マイコンIPでいいの?

スパコンじゃ駄目?

Homebrew Cray-1A
ttp://www.chrisfenton.com/homebrew-cray-1a/

914 :774ワット発電中さん:2014/11/02(日) 10:06:41.50 ID:NxQF3P5f.net
じゃまだから、一行おきに書くなよ

915 :774ワット発電中さん:2014/11/02(日) 10:15:58.29 ID:6PcLYncS.net
8bitや32bitを使ってる身からすると
32kbyteなんて夢のよう

916 :774ワット発電中さん:2014/11/02(日) 10:42:56.32 ID:9XdJPYpU.net
16bitは、ダメなの?

917 :774ワット発電中さん:2014/11/02(日) 11:02:23.75 ID:GF2YDEfD.net
>>912
まあ、そうだよな
つーか、にしても転がってはいるのかよw

>>913
まじかよw予想の斜め上いっててワロタw

918 :774ワット発電中さん:2014/11/02(日) 11:20:56.20 ID:NxQF3P5f.net
http://opencores.org/projects
Processor

この辺だな、
当然、バグ皆無とじゃないから(結構残ってる思った方が良い)検証が大変
自分でスクラッチから書けるくらいの力量が無いと苦しいよ。

919 :774ワット発電中さん:2014/11/02(日) 11:55:41.02 ID:Ahq47BPH.net
プロセッサー内臓しない方がまだ安くつくれると思ってるんだが、時代遅れ?

920 :774ワット発電中さん:2014/11/02(日) 12:00:10.89 ID:GF2YDEfD.net
>>918
おうふ…、ガチじゃねーか
AVRとかのコアもあんだな
確かにスキルは必要そうだわ
ちょっといろいろいじってみる
とりあえずサンクス

921 :774ワット発電中さん:2014/11/02(日) 12:05:43.43 ID:BefmA+fD.net
>919
用途次第じゃない?
FPGAでデータの圧縮伸長を実装するような奇特な会社だと、
ストリームの上位層解釈に使うCPUはFPGA内に入れた方がいろいろと便利だったりする。

あとは、どうしてもFPGAを外せない状況で部品点数を減らすならCPUを外に置く余裕はない、なんて場合とか。

922 :774ワット発電中さん:2014/11/02(日) 20:53:51.47 ID:MExsPL4v.net
>>921
> あとは、どうしてもFPGAを外せない状況
その部分だけFPGAを使えばいい。
大抵、SoCで間に合うんだから。

そういや、SoCを勘違いした人がいたなw

923 :774ワット発電中さん:2014/11/02(日) 21:50:44.19 ID:Mv+9Ua2r.net
>>922
圧縮身長はFPGAでは辛いの?

924 :774ワット発電中さん:2014/11/02(日) 22:38:50.93 ID:BefmA+fD.net
>922
その部分でFPGAを使って、別にチップを置くのがだるい場合の話です。
- FPGAとCPUの2チップ(実際はそれじゃすまない)置くのは厳しい
- FPGAは外せない (もし問題なく外せるなら、FPGAを使わない方が大概安い)
-> CPUを別に置くのはやめよう
という流れに当てはまる場合ね。

そんな状況を想定しないで済むなら、それはとても幸せなことだと思います。

925 :774ワット発電中さん:2014/11/02(日) 23:25:44.17 ID:8dN7khkm.net
>>923
ソフトだとアルゴリズム変えるの簡単。
クワッドコア 万歳ってか

>>924
その想定だとコネクタも厳しいしだろうし、放熱も厳しいのでは?
I/O無しではあるまいし。

まあ、その前提で進めるならビルドアップ基板だろう。
ビルドアップなら表にFPGA、裏にCPUも出来てしまう。
基板の中にCやRを埋め込む事も出来る。
ICですら埋め込める。
逆に、ビルドアップにしなくても、IVHでもいいしね。

> FPGAを使わない方が大概安い
その通り。
単に発想が貧困なだけじゃね?
解決策は一つだけでは無いよ。

926 :774ワット発電中さん:2014/11/02(日) 23:47:28.00 ID:IbLRY7XG.net
2チップを止める理由、それは電源系が簡単になることかな。
最近のXの評価ボード、邪魔で馬鹿じゃねぇのって位、電源が載ってるしね。

927 :774ワット発電中さん:2014/11/02(日) 23:51:21.46 ID:W3FtUbKo.net
発想が貧困て…
どっちの発想が「貧困」なんだか

928 :774ワット発電中さん:2014/11/03(月) 02:57:17.35 ID:OkFTa84t.net
思ってても言わなかったのにw

929 :774ワット発電中さん:2014/11/03(月) 05:55:40.00 ID:4Zo6/qSd.net
同意します

930 :774ワット発電中さん:2014/11/03(月) 06:38:34.15 ID:tswsXNyC.net
今度の仕事は納期があるのでCycloneV Socを使ってみようかと思ってる
が使えるかどうか不安だよ。なんかいい本とかない?

931 :774ワット発電中さん:2014/11/03(月) 08:15:09.45 ID:jBAYXCMC.net
公式のハンドブックで十分じゃない?

932 :774ワット発電中さん:2014/11/03(月) 08:57:43.39 ID:tswsXNyC.net
>931
 お前頭が良すぎる。壊れてるんじゃない?
おれは正常なので、ハンドブックくらいじゃわからん。
「ネコでもわかる。V soc]みたいな本ないか?

933 :774ワット発電中さん:2014/11/03(月) 10:07:42.41 ID:pCCI441g.net
>>932
あなたの様な池沼は使わない方がいい

934 :774ワット発電中さん:2014/11/03(月) 11:37:47.28 ID:Jhg8wVPn.net
ネコに仕事させれば

935 :774ワット発電中さん:2014/11/03(月) 11:38:24.49 ID:DVeoemc/.net
それで池沼なら
世の中から解説書、入門書の類がなぜ溢れてるのか。

936 :774ワット発電中さん:2014/11/03(月) 11:47:16.09 ID:Jhg8wVPn.net
すすわたりさんのお金稼ぎだよん

937 :774ワット発電中さん:2014/11/03(月) 15:06:29.97 ID:OkFTa84t.net
>930
代理店に相談した方がいいと思う。

既存の基板を使うならともかく、自前で基盤を起こすとなったらどこでエラッタとかエラッタとか何を踏むかわからないから、
心してね。

938 :774ワット発電中さん:2014/11/03(月) 16:56:01.38 ID:K7Z3M3Ti.net
>>930
本より DE1-SoC Board でも買って使ってみりゃいいじゃん

http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=205&No=836
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=205&No=816

939 :774ワット発電中さん:2014/11/03(月) 22:24:52.25 ID://AYzB79.net
安いよね、俺はARMに興味ないから↓買ってみたけど
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=830&PartNo=2

だが、買っただけでまだ蓋も開けてない
今のところは、DE0-Nanoで間に合ってる。

940 :774ワット発電中さん:2014/11/04(火) 11:56:48.12 ID:8YUvVXdP.net
>>930
オンライントレーニングで

ARMベースSoC向けハードウェア・デザイン・フロー
 (Japanese Version: Hardware Design Flow for an ARM-based SoC) (OJSOC1000)
 53 Minutes オンライン・ コース
http://www.altera.co.jp/education/training/courses/OJSOC1000

ARM ベース SoC 向けソフトウェア・デザイン・フロー
 (Japanese Ver of Software Design Flow for ARM-based SoC) (OJSOC2000)
 40 Minutes オンライン・ コース
http://www.altera.co.jp/education/training/courses/OJSOC2000

941 :774ワット発電中さん:2014/11/04(火) 15:13:13.77 ID:hjn9d8cI.net
とりあえずDE1-SoCをかってみようと思う。しかしHelioボードとどっちに
すべきか? Helioボードの方が情報が多そうなきがするんだがどうだろ。
 目標はLinuxを載せて、GPIOを組み込んでLedチカチカできるだけで
いいんだけど。あとは何とかなりそう。
初心者にはどっちが優しい?

942 :774ワット発電中さん:2014/11/04(火) 16:33:01.69 ID:iBZ8tCa5.net
>>941
それだけならDE1-SoC付属のチュートリアルそのまま

943 :774ワット発電中さん:2014/11/04(火) 16:41:47.38 ID:oypqpO5B.net
4ビットマイコンでやれ、

944 :774ワット発電中さん:2014/11/04(火) 22:54:45.96 ID:eG4OWape.net
>>943
大人の科学の付録か!

945 :774ワット発電中さん:2014/11/15(土) 17:36:41.91 ID:trSLVo7J.net
CQ出版でもそのうち ZYNQの評価ボード販売するっぽい

946 :774ワット発電中さん:2014/11/16(日) 10:47:44.29 ID:KWBkHG60.net
今更だな、マルツで買えるし

947 :774ワット発電中さん:2014/11/23(日) 09:26:34.16 ID:KjEptbnY.net
terasicのde0,de1でold pcが色々移植されてるじゃない。P6,P8,MSX,Zet,pdp11とか。
プラットフォームとしてはすごく良いんだけど、これでオリジナルpcとか作る人いない?

948 :774ワット発電中さん:2014/11/23(日) 09:45:37.53 ID:FYlyV0vx.net
>>947
何をもってオリジナルPC? ソフトCPUコアに画面出力でもついていれば十分にオリジナルだと思うけど。

949 :947:2014/11/23(日) 10:58:31.62 ID:KjEptbnY.net
例えば、何かの互換PCじゃなくて、CP/Mが動作する物とか。
BIOSも書かなきゃならないけど、CP/Mの時代はみんなやってたでしょ?

950 :774ワット発電中さん:2014/11/23(日) 11:12:20.96 ID:zciPG9FG.net
CP/Mなんか使おうとしている時点でオリジナルじゃない
そこまでオリジナルにこだわるならファイルシステムも
全部オリジナル(既存のシステムと全く互換性なし)にしろ

951 :774ワット発電中さん:2014/11/23(日) 18:18:39.60 ID:Lj9kK/Rs.net
居るんだよね…極端な事言わないと気が済まない人

952 :774ワット発電中さん:2014/11/23(日) 18:44:56.32 ID:F2Xu+xEq.net
まぁFPGAは何をやっても良いので、ぼくのかんがえたさいきょう命令セット、とかでも
いいんじゃない?
既存の資産が何もない、というのがとてつもなくツラいがw

953 :774ワット発電中さん:2014/11/23(日) 20:47:25.17 ID:PIgEVW6K.net
素のTRONがよくね?

954 :774ワット発電中さん:2014/11/24(月) 00:19:27.57 ID:c1/GeeHI.net
BASICとマシン語モニタでいいんじゃね

955 :774ワット発電中さん:2014/11/24(月) 19:51:22.47 ID:B6qPtbY8.net
俺様が考えたFPGAは最強だから空を飛ぶぜ

956 :774ワット発電中さん:2014/11/25(火) 22:21:17.73 ID:SrzSMDVQ.net
>>949
Zet-86(だっけ?)なら、BIOSもオリジナル。
移植物だったきもするけど

957 :774ワット発電中さん:2014/11/25(火) 23:57:17.91 ID:kZm4v1Dt.net
何から何まで全部アセンブラで1から作れ、ってんでしょ?この人。
何時代の方?

958 :774ワット発電中さん:2014/11/26(水) 00:33:20.51 ID:CtSqYzmO.net
コンパイラ言語でプロト、アセンブリ言語で最適化は常識だよな

959 :774ワット発電中さん:2014/11/26(水) 00:35:15.87 ID:z6hkaKEq.net
VHDLもVerilogもFPGAのアセンブラみたいなもんだが
アセンブラを嫌がる人がFPGAスレにいるって理解不能だわ

960 :774ワット発電中さん:2014/11/26(水) 00:44:20.64 ID:z6hkaKEq.net
つーか>>947が理解不能なのは
CP/Mの既存の資産に乗っかって楽したいくせになぜ既存パソコンを否定するのか
そもそもコンパイラとかそろってる環境で開発したいんなら
いまは容易に入手できる32bitマイコンが山ほどあるのに
なぜ8bitのCP/Mなのか、というところ

961 :774ワット発電中さん:2014/11/26(水) 01:03:26.47 ID:FIUggik4.net
>>959
アセンブラ=回路図エントリツールで手設計
Verilog&VHDL=C、C++
HLS、OpenCL=PythonやRubyなどのお手軽インタプリタ言語

962 :947:2014/11/26(水) 01:20:50.85 ID:gLT2fdfo.net
いや、別に既存のPCを否定するわけでもないし、楽をしたくないわけでもない。
ただ、ハンダゴテにぎらずにオリジナルアーキテクチャのコンピュータを作ったぞ、
って人がいたら、例えばそのアーキテクチャをベースにみんなで拡張したり、
O/Sを移植したりしてみんなで育て上げられたらいいな、と思って。

963 :774ワット発電中さん:2014/11/26(水) 01:48:31.98 ID:g+XurOLH.net
HDLをCと同等とは思わないなぁ。
只のシーケンスを状態遷移化しなきゃいけないので。
そういう点ではアセンブラ未満に思えるが。

964 :774ワット発電中さん:2014/11/26(水) 02:13:49.48 ID:OjFYcA3b.net
そう言えばずっと昔、大学の頃、粗結合マルチCPUで複数の命令を並列実行できるProlog専用マシンを作ったが
今なら市販のCPUで4コアとか8コアとかあるから、わざわざ作る程のもんじゃないな

OSというのは、そもそもアーキテクチャを隠蔽するために使うものなんだから、新しいアーキテクチャ作って
OS移植ってあんまり意味無い。
ああ動いたね。良かった良かった(終了)
で、その先には何の独自性も無い。

965 :774ワット発電中さん:2014/11/26(水) 03:20:50.69 ID:r8Z54tkq.net
simが便利になったけどABELやCUPLとあまり変わらよな
あとC++レベルって事なら便利なランタイムが欲しいよ

966 :774ワット発電中さん:2014/11/26(水) 03:23:14.53 ID:pdKU625C.net
便利とか汎用に使えるテンプレートやデザインパターンを利用できる様になる程には
まだまだASICやFPGA側のロジック数に余裕が無いと言う事だろう…

967 :774ワット発電中さん:2014/11/26(水) 06:13:29.02 ID:7jlb79eK.net
>>962
ドキュメントが大変。

968 :774ワット発電中さん:2014/11/26(水) 08:53:38.65 ID:yUET1+e6.net
>>962
> オリジナルアーキテクチャのコンピュータを作った
OpenCoresに沢山あるじゃんw

969 :774ワット発電中さん:2014/11/26(水) 09:15:52.43 ID:19ZshfTR.net
>>966
ASIC は、ほとんど既存IPを組み合わせるだけだけど?
新規設計なんて一割くらい。

970 :774ワット発電中さん:2014/11/26(水) 13:15:58.92 ID:dMHNTh2x.net
>964
OSって何なの? 要するにファイルシステムってことでは? それなら
なんでこんなに複雑なの? というか全然整理されてない感じ。

971 :774ワット発電中さん:2014/11/26(水) 14:26:57.53 ID:nYnnegHv.net
この際、S-OSでも移植して”国産環境”で楽しむとかね。

972 :774ワット発電中さん:2014/11/26(水) 22:43:44.22 ID:CSIQCnHF.net
>>970
それはDOS。
その定義に従えば、iTRONとか標準でファイルシステムをサポートしないのは、OSじゃないってことになるな。

973 :774ワット発電中さん:2014/12/01(月) 02:16:15.74 ID:aJiZj2vg.net
>>972
俺が今使ってる7はDOSだったのか。
知らんかった

974 :774ワット発電中さん:2014/12/01(月) 08:35:00.55 ID:91/I5qYn.net
DR DOS 7.0 使ってんの?

975 :774ワット発電中さん:2014/12/01(月) 11:44:14.11 ID:+MzLMqfC.net
PC DOS J7.0/V だと思う

976 :774ワット発電中さん:2014/12/01(月) 12:15:55.07 ID:jzeF3249.net
ここの住人ならメモリーとプロセスの管理がosの仕事で
それ以外の事は後付けに過ぎない事に気付いてくれ。

977 :774ワット発電中さん:2014/12/01(月) 13:21:54.53 ID:VT4kKFcf.net
ドワンゴ「急募!FPGA機器開発経験者!」 ニコニコ動画をFPGAで高速化、ハード技術者を募集中&copy;2ch.net
http://hayabusa3.2ch.net/test/read.cgi/news/1417266799/

978 :774ワット発電中さん:2014/12/01(月) 13:33:13.70 ID:D/gJA4/7.net
>>976
マイクロカーネルdisってんの?

979 :774ワット発電中さん:2014/12/01(月) 13:53:46.67 ID:MqX7FrmI.net
BIOSってのがあってだなぁ、、。

980 :774ワット発電中さん:2014/12/01(月) 15:20:28.82 ID:gDwNKwte.net
BI - OS

981 :774ワット発電中さん:2014/12/01(月) 16:23:15.40 ID:4wDmR45M.net
B - I/O - System

982 :774ワット発電中さん:2014/12/01(月) 18:36:35.42 ID:/4hbpMp2.net
BeOS

983 :774ワット発電中さん:2014/12/02(火) 16:42:59.38 ID:il/E6OLW.net
CypressとSpansion合併。世界最大のSRAM/NOR Flash企業に
http://pc.watch.impress.co.jp/docs/news/20141202_678479.html

984 :774ワット発電中さん:2014/12/02(火) 16:47:04.89 ID:BRfgk+Bd.net
東京エレクトロンデバイスがXilinxの代理店やめるんだってな
残りはAVNetとPALTEKか
こういうのってどうやって決まるんだろう
次はAltera売ったりするのか?

985 :774ワット発電中さん:2014/12/02(火) 17:28:19.25 ID:W04JRFo5.net
パルテックかよ!

#あれには度肝を抜かれた。

986 :774ワット発電中さん:2014/12/02(火) 18:35:18.32 ID:7vmP+x05.net
Cypressが消滅か

987 :774ワット発電中さん:2014/12/02(火) 18:52:39.86 ID:QAgsvQo9.net
ファブレスって所詮根無し草。

988 :774ワット発電中さん:2014/12/02(火) 20:24:38.97 ID:LzqFeGaS.net
ニューラルネットワーク+FPGA とか
高速フーリエ変換+FPGA とか普通にやられてるね

989 :774ワット発電中さん:2014/12/02(火) 20:40:42.29 ID:e0/feazZ.net
>>987
ルネサス万歳!


ですか?

990 :774ワット発電中さん:2014/12/02(火) 22:48:40.54 ID:7vmP+x05.net
会社ってのは資産なんだよ。
設計会社だったら人材か?wwww
いくらになる?wwww

991 :774ワット発電中さん:2014/12/02(火) 22:59:30.07 ID:HAe02vRw.net
パルテックは社員募集してたな

992 :774ワット発電中さん:2014/12/03(水) 08:10:07.94 ID:OSoyXZDT.net
PALTEKなにをやらかしたか知らんがアルテラ全部棄てた時はビビった。
 
一体何があったんだろう(今更だけど)

993 :774ワット発電中さん:2014/12/03(水) 10:42:11.70 ID:TQsdOC7P.net
>>992
Aが代理店の1本化を望んでマクニカに負けただけでは
Xも2社くらいにしたいっ話前から流れてたよな

994 :774ワット発電中さん:2014/12/03(水) 11:02:12.00 ID:QfEZz4Pb.net
プロが集まると会社の話ばっかしだな

995 :774ワット発電中さん:2014/12/03(水) 13:11:54.66 ID:yOl23S/I.net
それが奴らのアイデンティティだから

996 :774ワット発電中さん:2014/12/03(水) 21:06:04.77 ID:YmsoQ2QGC
>>990
ARMを無視するかね
まあ、あれは例外だと主張するのかも知れんが、反例がある以上、虚論ですね〜、あなたの意見♪

997 :774ワット発電中さん:2014/12/03(水) 21:26:10.36 ID:KvcbBbWd.net
業務内容はあまり話せ無いからな

998 :774ワット発電中さん:2014/12/03(水) 22:05:24.17 ID:PN+0BsYD.net
もはやタッチしてなくて、技術も疎くなっとるだけのくせに
イソップ童話のカエルのお母さんのように自分を大きく見せるな

999 :774ワット発電中さん:2024/04/07(日) 07:35:23.82 ID:WaqJOXgxD
松阪市の救急車有料化7700円ってクソ公務員利権破壞のためにはいいことた゛な、他の自治体も見習って図書館は770圓は入館料取って
山岳救助地球破壊ヘリは1回7700万円,児童手当た゛のと赤の他人から強奪した金て゛性活を支えるという狂った方向に突き進んでるか゛
ひとり産み落とすごとに7700萬円ほと゛課税して部屋に食事にと無償提供して無責任な毒親対策すへ゛きた゛わな
寄生虫の子は寄生蟲になりたがるし他人から金むしり取っていい性活しようなんてクズに育てられたら公務員のように何ひとつ価値生産
できないGDP低下させるだけのクス゛にしかならないわけよ.暇を持て余して騒音まき散らして威力業務妨害してるだけの害虫公務員か゛
物価高騰してるだのと他人から強奪した超高額ナマポ爆上げさせながら少子化て゛人手不足だから子育てさせろだのと寝言ほざいて有給倍増
さらに安価に地球破壊したいからとこれだけ自然様ブチ切れさせながら宿泊代に税金使う北陸破壞割た゛の始める始末
いつから地球破壊業がヱッセンシャルワ━カーになったんだかとっととクソ公務員含めてエッセンシャルなにか゛し業に転換させろや
(ref.) ttps://www.call4.jp/info.php?type=items&id=I0000062
ttps://haneda-project.jimdofree.com/ , ttps://flight-route.com/
ttps://n-souonhigaisosyoudan.amebaownd.com/

1000 :774ワット発電中さん:2024/04/08(月) 17:57:01.77 ID:1w+Y9ABbw
本名荒井の「(おい)」攻撃

220 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver 2014.07.20.01.SC 2014/07/20 D ★