2ちゃんねる ■掲示板に戻る■ 全部 1- 最新50    

【Verilog】 記述言語で論理設計Project15 【VHDL】

258 :774ワット発電中さん:2020/06/10(水) 12:14:48.99 ID:s51dlBjb.net
パラで処理しないの?

259 :774ワット発電中さん:2020/06/10(水) 12:28:54.54 ID:5NH3QB02.net
あっちに答え書いてあったけど
上限が判ってるならテーブル参照が最速かもな

260 :774ワット発電中さん:2020/06/10(水) 13:03:45 ID:KfgmSXBS.net
>>257
そもそもの原理が無駄が多かったですね・・・
ただ、現在は乗除算無しで考えていこうとしているので
中々思いつかない現状です。

>>258
パラレル処理?のことなんですかね
どういった内容になるのか詳しく知りたいです。

>>259
1000個の参照ポイントを用意するって感じですか?

261 :774ワット発電中さん:2020/06/10(水) 13:35:47.86 ID:wDcrBWNv.net
10bit目観れば512以上か未満か判るだろ
512以上なら5,6,7,8,9のどれか
512未満なら0,1,2,3,4,5のどれか
次に9bit目を観る
・・・
これをパラでやる

262 :774ワット発電中さん:2020/06/10(水) 15:17:57.31 ID:ylnvimF5.net
2進数を入れたシフトレジスタの左にBCDを格納するシフトレジスタをつなぐ
BCD側のレジスタは全て0にして、下から4ビットずつに区切る
以下の手順を2進数の桁数分繰り返す
BCD各4ビットで0101以上なら0011を足す
1ビット全部左シフトする

263 :774ワット発電中さん:2020/06/10(水) 15:18:09.43 ID:obppSqvF.net
ROM(RAM)を使ったテーブル参照が、 ベスト
最近のヤツなら、BlockRAM:一個程度の消費で済む
既に 100% BlockRAMを使い切ってるてのは、 まず無いいからな
(大量に使うヤツでも、万一の為にそなえ数個程度は残しておくのが普通)

下手な回路を考えてるより、 よほど賢い

264 :774ワット発電中さん:2020/06/11(木) 17:22:12.60 ID:W5gPWE03.net
100を4回引き算して百の位、余りから10を4回引いて十の位
こんなのでできた気がする。

265 :774ワット発電中さん:2020/06/11(木) 18:16:29.95 ID:/d6n/NQn.net
assign d0= ain % 10;

でいいだろ。

266 :774ワット発電中さん:2020/07/18(土) 04:27:24 ID:LUWc9AzA.net
いいんか?

267 :774ワット発電中さん:2020/07/18(土) 13:33:24 ID:t6/0/DIq.net
余り%がQuartus18.1.0で合成できたので驚いた。

268 :774ワット発電中さん:2020/07/18(土) 22:30:34.19 ID:aK7GOB2Q.net
まあ加減算よりはリソース消費するだろうけどね…

269 :774ワット発電中さん:2020/09/06(日) 22:43:05.26 ID:nWALnjiO.net
vhdl2008で書いてくるバカを辞めさせる方法

270 :774ワット発電中さん:2020/09/06(日) 23:04:31.88 ID:mVpoiEtm.net
VHDL使ってる時点でね。

271 :774ワット発電中さん:2020/09/13(日) 08:33:21.93 ID:vc8Q3h+7.net
SystemVerilogなら良いのか?
結局は自分が追いつけない物に文句言ってるだけだろう

272 :774ワット発電中さん:2020/09/13(日) 11:52:34.31 ID:etV4yc3F.net
ボキュ
SystemVerilogも使えるから、年収800万円以上出せるなら転職を考えてやってもいいけど、そういう会社が無いのは何で

273 :774ワット発電中さん:2020/09/15(火) 00:40:54.18 ID:E4CR/7Zf.net
verilog始めたばかりです。
100mhzで1クロック幅のパルスを
50Mhzの回路のenableとして使ってるんですが実機の基板で動作しないときがあります。こういうときはどうするのがよいですか?独学だから難しい。

274 :774ワット発電中さん:2020/09/15(火) 01:22:18.44 ID:Rn1xNXOs.net
どう書いたか見せてくれれば

275 :774ワット発電中さん:2020/09/15(火) 07:00:57.87 ID:gSh24P2r.net
100mHz なら動きそうだけど
100MHz だと50MHzより狭いんだから動かなくて当たり前。

276 :774ワット発電中さん:2020/09/15(火) 12:16:14.66 ID:l+ARE9I+.net
ミリヘルツという解釈w

277 :774ワット発電中さん:2020/09/15(火) 12:26:57.90 ID:l62r70fB.net
100MHzの間違い。
シミュレーションだと動くんだけど。
単純にパルスを伸ばせばいいのかな。
やってみます。

278 :774ワット発電中さん:2020/09/15(火) 14:10:04.48 ID:DLPjG/C3.net
100MHzで2クロック分のパルスじゃないと50MHzのクロックでは叩けないよ。
あとは、この2つのクロックが同じ発振源から作られていることとか、
クロックの位相(50Mと100Mのクロック立ち上がりの時間差)なんかも
ちゃんと規定しておかないと、実機では再現性のある動作が期待できないよ

279 :774ワット発電中さん:2020/09/15(火) 16:43:27.54 ID:l62r70fB.net
>>278
ありがとうございます。
100MHzから50MHzを作ってます。
同じクロック元です。
2クロック幅にしてクロック制約調べて入れてみます。

280 :774ワット発電中さん:2020/09/15(火) 20:43:10.88 ID:vUS3KOT2.net
100MHz enable 立てる
50MHZ enable立っているの発見 ack上げる
100MHz ack上がったの確認 enable下げる
50MHZ enable下がったの確認 ackを下げる


送信元クロックで2回FFで叩いて
受信元クロックで1回FFで叩いて
信号をやりとりする

というのが基本だと思っているんだが、どうよ

281 :774ワット発電中さん:2020/09/15(火) 20:57:46.72 ID:4uuLZFTp.net
無駄

282 :774ワット発電中さん:2020/09/15(火) 22:07:57.25 ID:rA2d3GAF.net
非同期入力ならシンクロナイザ入れてそこまでやるかもね

283 :774ワット発電中さん:2020/09/15(火) 22:17:32.57 ID:1RBfeO/K.net
なるべく複数のクロックソースを使わないのが基本だよね
どうしても必要なら>>280のようなこと(よく読んでないけど)もやるけど

284 :774ワット発電中さん:2020/09/16(水) 06:28:03.80 ID:prDJsXXH.net
出力バッファが弱いだけだろう。

285 :774ワット発電中さん:2020/09/21(月) 00:27:36.95 ID:GkH9nrzm.net
100MHzの源振で、100MHz clockで1clock作り出すというのだから、↑↓で2clock必要だから、
↓の時点で100MHzが食ってくれそうな気もするけどね。
ホールド不足だろうか。

286 :774ワット発電中さん:2020/09/24(木) 22:55:18.39 ID:MNKMYjwb.net
100MHzで1クロックのパルスを10kHzとか遅いクロックで使うにはどうするの?引き伸ばすの?

287 :774ワット発電中さん:2020/09/24(木) 23:15:05.26 ID:BjBwHg8R.net
それしかないよね

288 :774ワット発電中さん:2020/09/25(金) 07:06:21.36 ID:271dMGVm.net
ハンドシェイクでもいいんやで。

289 :774ワット発電中さん:2020/09/25(金) 12:49:28.05 ID:a8sjFznc.net
>>286
HD映像データ(約74MHz)用メモリの空き領域に音声データ(48kHz)を居候させて、
出し入れする制御は実際に昔やったことがある。
メモリは74MHzで動いているから、読み出したデータは48kHzの1クロック期間ホールドする。
スピード差は3桁(1000倍以上)だが問題ない。

290 :774ワット発電中さん:2020/09/26(土) 10:29:23.78 ID:HwNr3S2N.net
音声を映像と同じクロックで扱わなきゃいけないのがもったいない。

でもマルチサイクルパスとかクロック載せ替えやるとバグのもと。

291 :774ワット発電中さん:2020/09/26(土) 17:42:50.05 ID:SIeDHPXx.net
シミュレーションでは動くのに実機で動いたり動かなかったりする。

292 :774ワット発電中さん:2020/09/26(土) 18:40:19.23 ID:/F9E71nl.net
遅延シミュレーションでも掛ければ、スグに正体現すよ
死ぬほど時間掛かるから、余程の事が無いとやらんけどな
大概は、論理シミュレーション & 制約MET -> 実動作で確認、で済ませてる

趣味でやってる人で、制約掛けてるヤツなんて殆ど見たこと無い
その結果が ↑の >シミュレーションでは動くのに実機で動いたり動かなかったりする
そりゃ、そうなって当然だって

293 :774ワット発電中さん:2020/09/26(土) 21:30:16.62 ID:aMPCxEFy.net
遅延シミュレーションとか90nmの時代までかな。
STA 通れば問題にならないよ。

294 :774ワット発電中さん:2020/09/27(日) 07:47:01.92 ID:YDOHU9dy.net
やっぱりそうだよね
STAあるのにインプリメント後のSimなんて意味が分からんわ

295 :774ワット発電中さん:2020/09/27(日) 11:43:35.89 ID:Vex+NJdu.net
STAってASICの話し?

296 :774ワット発電中さん:2020/09/27(日) 14:31:24.64 ID:h/07VqFn.net
STAはパンクするのではないか

297 :774ワット発電中さん:2020/09/27(日) 17:33:06.81 ID:mJ54mVso.net
FPGAでもSTAはあるな。
ってか、制約付けずに合成するかな?
ピン配置すら決めてなさそう。

298 :774ワット発電中さん:2020/09/28(月) 15:23:52.03 ID:ZofM1AV5.net
新人の時CLKをCLKでそのまま打ってインプリ毎にグローバルに乗ったり乗らなかったりを繰り返し、最終版で見事グローバルから低温でしかエラーしないタイミングで外れ大規模不具合を引き起こしたぼくが通るぞ

299 :774ワット発電中さん:2020/09/28(月) 15:26:06.35 ID:ZofM1AV5.net
新人僕「タイミングチェックってHoldもできるんですか?え?Holdって低温時のほうが厳しくなるんですか??」

300 :774ワット発電中さん:2020/09/30(水) 20:57:34.72 ID:r8TT/iid.net
東芝がシステムLSI事業から撤退、だそうだ。
https://monoist.atmarkit.co.jp/mn/articles/2009/30/news050.html

15〜20年くらい前、東芝・NEC・富士通などに発注して
DRAM混載のシステムLSI(今ではSoCと呼ぶ人のほうが多いのか?)
を度々作ってもらっていたのが懐かしく思い出される。
設計はVerilog。VHDLに出会うことは無かったな。

301 :774ワット発電中さん:2020/09/30(水) 21:17:35.01 ID:PJTbUj6P.net
どうせ切るなら早く切らないとね

302 :774ワット発電中さん:2020/09/30(水) 21:18:56.40 ID:ye+f7ILK.net
切りすぎて最後に残るのは経営陣とその太鼓持ちだけになる

303 :774ワット発電中さん:2020/09/30(水) 21:46:52.33 ID:dh8TWTMG.net
入社するときは優秀な人たちが馬鹿になって行く不思議な会社

304 :774ワット発電中さん:2020/09/30(水) 22:52:15.26 ID:dT7ATEy0.net
>>300
DRAM混在はあんまりなかったはず。プロセス違うからね。
VHDLってどこで使われてるんだろう。
米軍向け?

305 :774ワット発電中さん:2020/09/30(水) 23:25:46.90 ID:R7ENorU3.net
物好きな大学教授が「バグを検出しやすいから」とか言ってVHDL推してたわ
なおその教授の研究は昔から全然進んでない

306 :774ワット発電中さん:2020/10/01(木) 07:48:41.32 ID:ASWYfv44.net
DRAM混在ASICを作ってもらえるユーザーは数社しかない。ほとんどが値段聞いてビビる。

307 :774ワット発電中さん:2020/10/01(木) 09:20:57.72 ID:n0hc4P54.net
君らVHDLの利点わかってないのか
レベル落ちたなぁ

308 :774ワット発電中さん:2020/10/01(木) 10:27:25.04 ID:iLDU4h9d.net
利点があったってあまり使われてない言語なんて履いて捨てる程あるからな!
ばるてのーんとかw

309 :774ワット発電中さん:2020/10/01(木) 12:14:13.29 ID:x9B4VcDd.net
信号処理でverilogなんてバグしか生まないクソ言語つかうない

310 :774ワット発電中さん:2020/10/01(木) 13:28:39.40 ID:Okjn6PWg.net
そういう場合、使えないのは言語でなくてお前のほう。
かと言って、Lint でガチガチにするのはやめてくれ。

311 :774ワット発電中さん:2020/10/01(木) 15:10:22.37 ID:x9B4VcDd.net
>>310
のような使えないFPGA技術者一覧がほしい

312 :774ワット発電中さん:2020/10/01(木) 16:45:09.93 ID:7DdZbF3O.net
>>303
311原発事故の東電の人たち観て思った

313 :774ワット発電中さん:2020/10/02(金) 01:28:22.67 ID:6Jgpvsyi.net
SpyGlass 通せばいいだけじゃん

314 :774ワット発電中さん:2020/10/02(金) 13:13:46.95 ID:JHsKCZ+8.net
SpyGlassなんて高級品は使えません。
RTLは外注に出すのがスタンダードになったからキツイ。小規模なやつだから自分で作ろうとすると無償ツールしか使えない。

315 :774ワット発電中さん:2020/10/03(土) 05:06:36.62 ID:CwnxhYG9.net
いま、16bitの信号処理をverilogで書き出したら、掛け算の結果のビット間違えて、検証にどえらい時間がかかるから、VHDLで書いているんだけど

なんか文句あっか

316 :774ワット発電中さん:2020/10/03(土) 06:41:57.97 ID:+M6XMvS7.net
integer にしとけ。

317 :774ワット発電中さん:2020/12/20(日) 23:26:01.44 ID:4RufAi77.net
iZ-Cのサンプルプログラム書き始めたので見てね。
https://sunasunax.hatenablog.com

318 :774ワット発電中さん:2020/12/21(月) 09:00:05.53 ID:SnGUwBsl.net
FPGA用に合成できる?

319 :774ワット発電中さん:2022/07/01(金) 21:25:57.03 ID:JLmDZESx.net
こんなに待ってもレスがないなんて…
まさか合成できないのか!?

320 :774ワット発電中さん:2022/07/03(日) 09:05:09.03 ID:uWIrJGJv.net
合成って時間かかるからね。

321 :774ワット発電中さん:2022/07/03(日) 12:31:49.02 ID:XLcRZoDP.net
一人寂しくロンリー合成

322 :774ワット発電中さん:2022/09/15(木) 14:39:59.19 ID:xS5+mJXV.net
CとかMATLABで設計できる時代には、結局まだなってないのか。
まー、好き勝手な回路作られても困るしな

323 :774ワット発電中さん:[ここ壊れてます] .net
手作業で合成するんですか?それとも、ユーザーが論理回路書いたら
開発環境みたいなのが論理展開して最小な構成案とか提示してくれるんですか?

324 :774ワット発電中さん:2022/09/16(金) 11:48:43.38 ID:6Pi2nRI4.net
カルノー図を書けば何とかなる。

325 :774ワット発電中さん:2022/09/16(金) 12:18:30.08 ID:dO0gy88g.net
>>323
合成は開発環境がしてくれるんだよ。
でも、開発環境に食わせる回路に、いくつかのレベルがあって、云々。
メジャーなのは、多少の論理回路(あーだったらこーなるみたいな)を同期回路(F F)で叩いて繋げていくやつなのかな。20年以上前からそうだったと思うけど、トランスファーレベルとか言ってたような気がする。もう忘れた。

326 :774ワット発電中さん:2022/09/16(金) 12:54:25.48 ID:rofojeSk.net
今でもRTL(レジスタトランスファロジック が基本。
それがわからない新人が「エラーが取れないんです〜」って泣きついて来る。

327 :774ワット発電中さん:2022/09/16(金) 13:12:35.81 ID:RZbdKLa3.net
なんかよーわかりませぬが便利そうですのぅ 

FPGAを使う予定はないけれど、
その機能目当てで開発環境だけインスコすっかな(^p^;フヒヒ

328 :774ワット発電中さん:2022/09/16(金) 16:28:18.77 ID:dO0gy88g.net
>>327
簡単なキット買ってLチカとかすると案外面白いよ。タイミングとかあんま意識しなくてもいいし。

329 :774ワット発電中さん:2022/09/16(金) 16:29:25.49 ID:dO0gy88g.net
>>326
ありがとうございます。そーか、RTLて言葉すら忘れてました。

330 :774ワット発電中さん:[ここ壊れてます] .net
RTL = Register Transfer Level かな。
職場では慣用的にVerilog-HDLで書いた「論理回路のソースコード」の意味合いで使っているな。
「お前の書いたRTLはいつも見易いな/見難いな」とか。

331 :774ワット発電中さん:2022/09/16(金) 18:13:16.39 ID:6Pi2nRI4.net
テストベンチをRTLって言われるとイラッとするよな。

332 :774ワット発電中さん:2022/09/17(土) 06:33:37.41 ID:Xx7itxc5.net
うちの会社はVHDLだった。中にはシミュレーションだけVerilog-HDLの人もいたけど

333 :774ワット発電中さん:2022/09/17(土) 09:42:29.39 ID:J4bNj1zy.net
テストベンチをRTLで書けって言われたら… 出来ませんだよな。

334 :774ワット発電中さん:2022/09/17(土) 12:54:56.87 ID:wFM/WtKx.net
ゲートディレイを使って発振回路作れば何とか•••

335 :774ワット発電中さん:2022/09/17(土) 18:22:11.13 ID:Xx7itxc5.net
結局、FPGAは実機で動かしてデバッグしていくのが効率的なのかなぁ。昔はRS232cでFPGA内蔵マイコンと通信してデバッグしてたけど、今も変わらんのかな?

336 :774ワット発電中さん:2022/09/17(土) 20:43:26.45 ID:J4bNj1zy.net
流石に全部実機は辛かろう。ファンクショナルだけでもシミュレータでやるのがいいと思うが。

337 :774ワット発電中さん:2022/09/17(土) 21:16:26.87 ID:UPAbRbpH.net
全部シミュレータも面倒だしな。

338 :774ワット発電中さん:2022/09/18(日) 11:54:20.30 ID:fpWrlkpV.net
今思い出したけど、自分は基本的な動きはテストベンチ作って状態遷移とか確認して、そのあとMATLAB/Simulinkでシミュレーション系を作って、RTLを読み込ませるプロックセットを使って検証していた気がする。なんか、転職したから全部忘れてしもうた。趣味でまた始めたいんだけど、なかなかね。

339 :774ワット発電中さん:2022/11/11(金) 23:44:05.99 ID:AZNJ6qQm.net
てすと

340 :774ワット発電中さん:2022/11/17(木) 12:42:41.55 ID:a+plK6vp.net
タイミング制約がめんどい
つけなくても動いてるけど
ツールは制約付いていないと文句言ってるけど

341 :774ワット発電中さん:2022/11/17(木) 12:50:47.14 ID:9q09WokQ.net
制約付けたら付けたで文句言われるしな。

342 :774ワット発電中さん:2022/11/17(木) 22:44:09.39 ID:a+plK6vp.net
入力クロックだけfreq値与えてmetすればおkでつか?とりあえず

343 :774ワット発電中さん:2022/11/17(木) 22:46:34.15 ID:a+plK6vp.net
こんかいのは繋ぐデバイスが遅いから手抜き
以前serdesで500MのADC繋いだときは
ギリシャ

344 :774ワット発電中さん:2022/11/30(水) 11:09:22.21 ID:fAyEbzni.net
こんにちは
VHDL(1993/2008) numeric_stdを使用している状況で
32bitを超えるカウンタを生成するにはどういったアイデアがあるでしょうか?
std_logic_arith、std_logic_unsignedを使用しているときはstd_logic_vectorで良かったかと思います。

メモリアドレスカウンタを作りたいのですがメモリサイズが大きく32bitでおさまらないのです。
よろしくお願いします。

345 :774ワット発電中さん:2022/11/30(水) 12:33:41.35 ID:xUuO9dJi.net
32bit=4G(ギガ)で収まらないアドレスカウンタとは、
どのくらい大容量のメモリを使う予定なの?
例えば1チップで32GbitのDRAMを使う場合でも
構成が4Gアドレス×8bitだったりするからね。
それにDRAMのアドレスの場合、RowとColumnの2つに分けて入れるから
アドレスカウンタのビット数はもっと小さくて済むよ。
4Gアドレスなら、Row(24bit)×Column(8bit)みたいな感じ。

346 :774ワット発電中さん:2022/11/30(水) 12:57:27.31 ID:fAyEbzni.net
レスありがとうございます
メモリはDIMMで8GB/16GBを想定しています
メモリコントローラはipを使います
実際データ幅は32bit以上なので下位bitを省けば32bitでまかなえると思いますし
カウンタを分離する方法でもいいかとも思っています
ただし、何かアイデアがあればと思いお伺いさせて頂きました

347 :774ワット発電中さん:2023/03/12(日) 21:17:10.43 ID:cGxMlPMj.net
ロケットのアレは電源系からの回り込みノイズも考えられる
今の電源関係は電圧低いからねぇ

ノイズ周りはパチンコ屋が強いんだっけ?

348 :774ワット発電中さん:2023/10/14(土) 18:59:50.83 ID:/G9A8sTA.net
お前、自分の故郷に向かって何てこと言うんだ!

349 :774ワット発電中さん:2024/05/12(日) 09:59:21.81 ID:Y9HSw3cp.net
小学生がサウナ入ってても

350 :774ワット発電中さん:2024/05/12(日) 10:45:26.74 ID:Ygaadzj8.net
信者は仰ってますと
思ってなかった

351 :774ワット発電中さん:2024/05/12(日) 10:50:39.74 ID:07KYdxh8.net
>>172
久しぶりに4回転の無い生放送連発

352 :774ワット発電中さん:2024/05/12(日) 10:51:52.21 ID:OPAN/Cvt.net
すらちゆかんうわぬくてあのけりわなめるよすうふとやおわてけふひてもるあとやろのにろきあき

353 :774ワット発電中さん:2024/05/12(日) 11:02:33.52 ID:KgBvdv2l.net
どんだけ食っても負けてるんならインデックス買ってみたけど普通の人間より頑張ってる
ロマサガも終わりだよこの競艇人生
競馬はウマ娘がそう言っていたぞ

354 :774ワット発電中さん:2024/05/12(日) 11:06:25.93 ID:bPotjN7P.net
俺くらいになるね
あのーYoutubeもTwitterもガーシー暴露ネタそんなに暇だったのは
今日からが民主的にマネジメントも下手だなところだけをターゲットにされなかったけど

355 :774ワット発電中さん:2024/05/12(日) 12:40:22.83 ID:U6/AX4E6.net
958 名前:名無し草 2022/08/28 11:14

81 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver.24052200