■ このスレッドは過去ログ倉庫に格納されています
【Verilog】 記述言語で論理設計Project15 【VHDL】
- 1 :774ワット発電中さん:2017/04/21(金) 21:48:16.41 ID:KqIjhH63.net
- HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。
このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。
入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000
※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/
- 2 :774ワット発電中さん:2017/04/21(金) 21:48:36.06 ID:KqIjhH63.net
- ・FPGA
Xilinx ttp://japan.xilinx.com/
ALTERA ttp://www.altera.co.jp/
Lattice ttp://www.latticesemi.co.jp/
Actel ttp://www.actel.com/intl/japan/
QuickLogic ttp://www.quicklogic.com/
・ASICベンダ推奨ツール類 (高価)
Synopsys ttp://www.synopsys.co.jp/
Cadence ttp://www.cadence.co.jp/
Mentor ttp://www.mentorg.co.jp/
Synplicity ttp://www.synplicity.jp/
・Verilogシミュレーター (無料)
Icarus Verilog
ttp://iverilog.icarus.com/
ttp://ryusai-hp.web.infoseek.co.jp/icarus.htm (解説)
ttp://www.ice.gunma-ct.ac.jp/~kimsyn/verilog/FreeSim/iverilog/iverilog.html (解説)
IVI
ttp://ivi.sourceforge.net/
ttp://www.kumikomi.net/archives/2005/06/10icarus.php (解説)
・VCDフォーマットの波形表示 (無料)
GTKWave
ttp://gtkwave.sourceforge.net/
ttp://ryusai-hp.web.infoseek.co.jp/gtkwave.htm (解説)
・関連書籍
STARC ttp://www.starc.jp/
CQ出版 ttp://www.cqpub.co.jp/
Interface ttp://interface.cqpub.co.jp/
Design Wave Magazine (休刊) ttp://www.cqpub.co.jp/dwm/
ディジタル・デザイン・テクノロジ (旧DWM) ttp://digital-design.cqpub.co.jp/
・解説サイト
やるおと学ぶ Verilog-HDL ttp://hirokinakaharaoboe.net/yaruo_verilog/
・関連スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #24
http://rio2016.2ch.net/test/read.cgi/denki/1475205631/
【EP3】DE0で始めるVerilog HDL【C16】
http://rio2016.2ch.net/test/read.cgi/denki/1310362001/
- 3 :774ワット発電中さん:2017/04/22(土) 07:13:33.38 ID:SVcPEvzj.net
- )())()))))(()((()(((()))((()()))()))))()(((()))(()((()()()())((((()()())(())
))()())(()()(((())(()((((()))((((()(())((()))(((((()()))))(())())))()))())))
((()()()()((()())(((())())(()(()))())()(())))))()(((()()())((())))))(((())()
)(((()))(((()())()(()()(()(())(()()()()()())(()))(())()))()()))())()))(()(((
(())())))((()())())(()))((())()(((((())()()()((()))((()))(()))()()((()))))((
)))((()))()(())((())))((((((()())((())()(()()))())())))()()((())()())(())(((
)(())()(((()()())(((()())))((()((()))()())()())())(()))(()()))))()()((()()((
()()((((()(((()(()))()))()())))())(()()(()((()))(())()()((((())()))))(()))()
)())())))(())(())))(((()(((()((())()(()))(())))(((())((()()))()))(((()))(()(
)())((((()(())(()((()))))())))()))()())()(((()(((())(()))((()()(())()())(())
)()((()(()())()))())((()())))))())()((((()())))()(()(((()())(())())(())(()((
))(())(()((())))(())(()(())((((((((())()()))(())))())((())(()()()())(())()))
()(((((())()())(((((())())((((())(()(())(())((()()))))())))(()()())())()))))
())()(()))))(())))((()(((((()(((())())()))())()((()(())))()(()()(((()))()())
))(((()))(()())(((()((())))((())()((())(()))(((())))))(()())()))(()()()(()()
)())))(())((())((()((((())(())(((())))(())))((()()()()()(()())(())()()(())))
)()()())(((((()))()(())))()))())))()()()))(()(())(())((((((()))(()()((())()(
(((())((()))()))())())()))()())))()(()))())(())))(((((()())(((())(()(()()(((
())))())(())((())((())())()))(()()(()))()())(()(()(())()((())(())())())(((((
))))))((()))(((((((()))))()())())()()(()((()())()))))()(()((())(((((())))(((
)())))))())()))(()())))()(()))()(((((((()((((())()(())(()(()(()))())()(())((
(((()((()(())(()()())((())))(())())))()()()((((())()()()()))(()))())((()()))
())()())(((()(((()())()(())()))(())(()(((())()()())(()((()))())())(())()()))
)(()((()())()(()()(()())()((())())()))((()()())((())((()(())(()(())()())))))
())))((()))(()()())()(()((()(())()()())(())()(((())))(()))((()()((()))())(()
)()))(((()))))))(()((()))((()))()(()()(()(()())(((((()(()(())))()())(((())))
)())((()(()(())))(())()()(()(((()))()((()(((()((()(()))(()))))))))((())())()
))(()()()()(())())))))())())()(()))()((()))(()())(()(()((())((()(()((()(())(
))())((((((())))(((()()()(()))))((((())())(()()))(((()))(()(((())))))(()))()
)()((()()((()()))()(()()()))())((())(())())())(((())((())(()()))(()(()))(())
())(()((()))))()))())()))()(((((()(()((()))()()((()))())(()()((()))()))()(((
)))()(()()((()(()()((()(())()(((())()(()((()))))((()()()((())()())())))())))
))())()()))(())((())()((()()(((())(())()))(()()))()((())(()(())()()(()(()())
)()()))()(())(()))()))(())()())()())(()(())(((((()(()()())(()))(())()((())((
()))(())()()())())())()(()()))(()())))(()(()(())(((()()())())(())(())(()((((
)((())))(()))())(()))))((())(()(()((()()(()()))(((()()))()())()())(()))(((((
((()()))())()))((()((()()((()()))()))())(()))((()))))((((((())))((((()()))()
()()()(())())))()()))())((((()))()()))(()))))()((((()())()((((())()((())()((
))((())(())(())))))((())))()())()(()))()(()()))(()(())(((()())()(((()(())(((
(())()()()((()))))(())()(((()))((()))()())()))()(()(((()())(())((()))())()((
)((((()))(()))))()))))())())))((((()())())))))(())((((((((((())(()))((()(()(
()))))()(()(()(((())())((())((((())(((()()())())()())()))))))()()((())()()((
))((()(()())()))(()()((()(()())))(())())))()))((()(())(()((()()))(())(())(((
())))())())()(()(()(()(())(()(())))((()(()()(())(()(())((())()()()(()()))())
)()(((()()()((()())(()())()(()(()((((())))(()(()))())(())()))()))()))())((()
(()))(()))())()((()()())(()(((()()(()((()))(())())())(()()()))(()))()(())()(
)))())(())())((()))()((())))())))(()(()))(()()()(())(((()((()(()))(())()((((
))()(())(((())))()(((()())))))())))(()(((()(()())))())((((()()((()))))((()((
()((()()))(())())(())())(())())((()()(()(())((()())()(()(((())(())()))())())
))))()()()((())())((((((())))()(((()))((()(((()))()(())))()(()()(())())))(()
- 4 :774ワット発電中さん:2017/04/22(土) 11:15:54.15 ID:wIfri9QN.net
- こんにちは、乙
- 5 :774ワット発電中さん:2017/04/22(土) 11:23:33.38 ID:kkP4qGKz.net
- >>3
てよく見るけどなんなの?
- 6 :arisa ◆QaHT6HayjI :2017/04/22(土) 11:32:59.43 ID:WnmS8HfM.net
- 30umのLSI設計はそろそろ飽きたので、28ナノチンコのFPGAに再度挑戦したい
- 7 :arisa ◆QaHT6HayjI :2017/04/22(土) 11:34:19.40 ID:WnmS8HfM.net
- >>5
新しいハードウェア記述言語。わかりやすく言うとHDLだぉ
- 8 :774ワット発電中さん:2017/04/22(土) 12:05:18.29 ID:kkP4qGKz.net
- (((((((((((((())(())))))(())((((((((((((((
(((((((((((((())(())))))(())((((((((((((((
(())))))))))(())))))(())(())(())))))))))((
(())))))))))(())))))(())(())(())))))))))((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())))))))))(())))(((())))))(())))))))))((
(())))))))))(())))(((())))))(())))))))))((
(((((((((((((())(())(())(())((((((((((((((
(((((((((((((())(())(())(())((((((((((((((
))))))))))))))))(())))))))))))))))))))))))
))))))))))))))))(())))))))))))))))))))))))
(((())(((())(())))))(((())))(())))))))))((
(((())(((())(())))))(((())))(())))))))))((
))))))(((())))(((())))))(((())))(((((())))
))))))(((())))(((())))))(((())))(((((())))
))(((())(((((())))))(((((((((((())))((((((
))(((())(((((())))))(((((((((((())))((((((
))))))(())))))(())(((((((())))(())))(())((
))))))(())))))(())(((((((())))(())))(())((
(())))(((((((((((((((())))(((())(())))))((
(())))(((((((((((((((())))(((())(())))))((
))))))))))))))))(((((())(((())))(())(())((
))))))))))))))))(((((())(((())))(())(())((
(((((((((((((())))(())(((((((())(())))))))
(((((((((((((())))(())(((((((())(())))))))
(())))))))))(())))(())))(((((((())((((((((
(())))))))))(())))(())))(((((((())((((((((
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())))(((())(((())))(())((((((
(())(((((())(())))(((())(((())))(())((((((
(())))))))))(())(((())))(())(())))((((((((
(())))))))))(())(((())))(())(())))((((((((
(((((((((((((())(())(())))(())))))))))))))
(((((((((((((())(())(())))(())))))))))))))
- 9 :774ワット発電中さん:2017/04/22(土) 20:17:23.26 ID:rQ/UpuOJ.net
- V3SっていうVisualStudioのアドインでコーディングしてみようと思うんだけど、使ったことある人いる?
- 10 :774ワット発電中さん:2017/04/27(木) 18:53:07.21 ID:lQFNtC0S.net
- 誰も居ませんよ?
- 11 :774ワット発電中さん:2017/04/27(木) 20:12:07.56 ID:fIRsTJH5.net
- 年度末で切られたんじゃない。
- 12 :774ワット発電中さん:2017/04/29(土) 00:00:51.13 ID:1OX4LBX+.net
- 泣いて派遣を斬る。
- 13 :774ワット発電中さん:2017/04/29(土) 11:23:33.78 ID:J0ObsWSv.net
- 派遣を斬る快感はやめられねえ。
- 14 :おなかすいたな :2017/05/05(金) 05:51:45.36 ID:MF3AbpnJ.net
- MAX10 4004 の高くて分厚い本が、半導体屋のプロがFPGAを書いた本として読むと非常に良く出来てる。
FPGA 中級者ー上級者 からステップアップしたい人にはオヌヌメ
- 15 :774ワット発電中さん:2017/05/05(金) 09:08:28.46 ID:/zYr3X/5.net
- >>14
2相クロックだし、多分非同期設計だからFPGAへの実装は面倒くさそう。
- 16 :おなかすいたな :2017/05/05(金) 18:45:46.93 ID:vSG+uUgh.net
- >>15
単層クロックで、φ1とφ2の4通りをenable扱いにして動くように作り替えればいいだけ。
- 17 :774ワット発電中さん:2017/05/27(土) 18:00:11.13 ID:wPQiVg0X.net
- >>8
ほかのスレでも時々見るけど、これって2次元バーコードか何かなの?
- 18 :774ワット発電中さん:2017/05/27(土) 18:09:21.11 ID:wPQiVg0X.net
- >>475
「上市」は一般の辞書には収載されていないが、「じょうし」と読み、
「新製品を市場に投入すること。新製品を売り出すこと」を意味する。
英語で表現すれば「launch」。医薬品業界、自動車業界などを担当するアナリストが多用する言葉だが、
医薬品業界では「承認された新薬を市販すること」の意で使用される。
ということで、いわゆる業界用語(造語)の類なので
知っているからどうこう言う言葉でもないようだ。
中国語とはたまたま同じような意味合いになっただけみたい。
- 19 :774ワット発電中さん:2017/05/27(土) 18:10:05.28 ID:wPQiVg0X.net
- >>18
ごめん、誤爆した
- 20 :774ワット発電中さん:2017/05/27(土) 20:57:06.04 ID:im60DGFo.net
- >>17
暗号(のつもり)かも
- 21 :774ワット発電中さん:2017/07/02(日) 03:20:28.80 ID:CX2S1E87.net
- 久しぶりにVerilog1ソースの改造をしました。
モジュール接続を変えるだけなので簡単に思っていましたが、とても手間取り、未だにやっています。
なんでこんなに分かりにくいのか考えてみると、電気回路図のように上下左右に伸びて記述すべきものを、
上から下への1次元で表現するからだと気が付きました。
top階層はブロック図で書いて、ブロックの内部をVerilogモジュールで記述するほうが
分かりやすいと思いますが、みなさん そんなことは内でしょうか?
- 22 :774ワット発電中さん:2017/07/02(日) 17:23:12.80 ID:MY1hSEPk.net
- 最上位層をスケマティックで書いて、中身をVerilogで・・・ということなら、
PSoCなんかでは昔から普通にやってる。
- 23 :774ワット発電中さん:2017/07/02(日) 20:49:39.59 ID:qO9F0VjN.net
- ASICなのかな?
FPGAもトップはIPコアを配置してブロック図で配線する。HDLは自動生成されるから楽チンだよ。
- 24 :774ワット発電中さん:2017/07/02(日) 21:41:09.61 ID:V7hjskES.net
- トップってピン(I/O)に実際に繋がる層ってこと?
- 25 :774ワット発電中さん:2017/07/03(月) 10:09:08.04 ID:suXtUoV9.net
- 3階層くらいまではブロック図で書いてその下がVerilogだな。でも追加とか出ると
Verilogでやってブロック図にフィードバックができなくなって、うやむやで終わり。
結局最後に信頼できるのはHDLだけだな。
- 26 :774ワット発電中さん:2017/07/03(月) 10:10:44.11 ID:suXtUoV9.net
- >22
PSOCってそんなに沢山ゲートがないだろ。
- 27 :774ワット発電中さん:2017/07/03(月) 17:41:11.19 ID:8fvkKAj6.net
- >>26
見通しを良くするためだから、規模には関係ないっしょ。
- 28 :774ワット発電中さん:2017/07/03(月) 19:58:35.59 ID:go14PhvY.net
- >>24について私も気になるのですが、どなたかご回答いただけないでしょうか?
>トップという言葉の意味
- 29 :774ワット発電中さん:2017/07/03(月) 20:09:17.43 ID:cavS7gbU.net
- >>24
そう。
>>28
ザイリンクスの場合のトップはこんな感じ。
http://i.imgur.com/xBE4F0O.jpg
青い箱はIPコア。中身はHDL。
- 30 :774ワット発電中さん:2017/07/03(月) 20:50:01.70 ID:go14PhvY.net
- >>29
早速のご回答どうもありがとうございます。
また例まで提示いただきイメージがつかめました。
ありがとうございます。
- 31 :774ワット発電中さん:2017/07/11(火) 04:16:25.34 ID:faEb5wOK.net
- Spartan6までしか使わないんですが、VivadoでSpartan6はできますか?
上に書かれたブロック図を見たら、ISEからVivadoに乗り換えてもいいな、と思えてきました
- 32 :774ワット発電中さん:2017/07/11(火) 06:14:42.51 ID:gbQrzIlI.net
- >>31
6はVivadoだけよ
ISEはもう新製品をサポートしてない
- 33 :774ワット発電中さん:2017/07/11(火) 06:52:02.46 ID:o2DjNazY.net
- >>32
しばらくXilinxから離れているのだけど、Spartan6はISEではないの?
- 34 :774ワット発電中さん:2017/07/11(火) 08:44:34.09 ID:W9enRDJj.net
- 6まではISE
7以降がVivado
- 35 :774ワット発電中さん:2017/07/12(水) 01:13:29.58 ID:C39avP5Y.net
- びばどぅ
- 36 :774ワット発電中さん:2017/07/12(水) 06:10:51.99 ID:xrClexpS.net
- >>33
ごめんなさい普通にレス間違えてました
他の方が言ってるように、6はISE、7以降はVivadoです
Vivadoだと6はサポートしてないです
- 37 :774ワット発電中さん:2017/07/12(水) 17:06:36.17 ID:wlKbi3Dl.net
- ヴィヴァドゥ
つーかなんで平仮名の「う」の点々はないの?
- 38 :774ワット発電中さん:2017/07/13(木) 03:49:36.90 ID:ebjoa3nQ.net
- >>37
そんなことより、Spartan6がVivadoで出来ナインだってね。
- 39 :774ワット発電中さん:2017/07/21(金) 04:17:17.49 ID:6mKHYWMT.net
- そう、できないんだ。
なんでだろう。
もうSpartnシリーズはやめなのかな。
好きなんだけど
- 40 :774ワット発電中さん:2017/07/21(金) 06:47:27.05 ID:UbRmqVOX.net
- S6はコストが安いから、多少の需要はあって案件もある
でも実際にはVivadoの方が案件が多いので全部Vivadoに移行して欲しいのが正直なところ
元請けより
- 41 :774ワット発電中さん:2017/07/21(金) 07:15:48.17 ID:fzzHpsaW.net
- 古いデバイスはISEでしかサポートしない。
ISEについてはもう更新しないと言ってる。OSがどんどん変わっていっても更新しないつもりなんかな。
手を施さずに放置して、Spartan6(を含む)以前のデバイスの自然死を待つみたいな感じだ。
- 42 :774ワット発電中さん:2017/07/21(金) 13:18:06.71 ID:4heuaL7h.net
- 新しいOSに対応しないのは、あんまり大した問題じゃないと思うよ
VMwareとかVirtualBox使ってもいいし、XPとか7入れたPC置いとけばいい
もっとも、ISE14.7はWindows10でも動いてるけど
- 43 :774ワット発電中さん:2017/07/21(金) 22:24:11.51 ID:fzzHpsaW.net
- ちょっと前までは、ISEが正式に対応OSとしていない新しいOSで、使おうとして叩かれる人もいたのに。
もう7も入手できなくなるし、10にこの前のCreators Updateみたいな大きいアップデートが定期的に入るようになったら、やがて使えなくなりそう。
パソコン体験も含めて新しくこの世界に入ってくる人がXilinxの旧デバイスを使えなくなるのもそうそう先ではなかろうね。
- 44 :774ワット発電中さん:2017/07/22(土) 03:02:09.35 ID:tAdg9egc.net
- >>43
将来のことは別にして、今のところWindows10でISE14.7動いてるよね?
そして「新しくこの世界に入ってくる人」が「旧デバイスを使える」って
ことが、そんなに重要なことなのかは疑問だな
あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
どうしても旧デバイス使うために、古いOS使いたいって考える人は
(合法かどうかは別にして)色々方法あるわけで、そんなの若い人は
当然承知だろうから、あなたが心配することじゃないかも
- 45 :774ワット発電中さん:2017/07/22(土) 08:29:48.71 ID:XglvVRxM.net
- >>44
最新デバイスは BGA だし魅力を感じない。
- 46 :774ワット発電中さん:2017/07/22(土) 12:33:12.12 ID:VkaYZata.net
- そうそう、パッケージがイヤだ。
QFPも入れておかないと、仕事で使えない。(コストが高くなる)
世の中の仕事で、BGAチップでなくて、QFPで済む仕事が半分以上なのに。
- 47 :774ワット発電中さん:2017/07/22(土) 13:49:38.00 ID:vBnj6Fxn.net
- >あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
>「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
そうでもなかったな…
- 48 :774ワット発電中さん:2017/07/22(土) 13:56:09.78 ID:tAdg9egc.net
- まあ、それは分かるし自分でも過去プロジェクトのメンテ用として
VirtualBox にXPとISE7とか10を入れてあるけど
開発してた当時の環境よりも逆に快適だったりする
- 49 :774ワット発電中さん:2017/07/22(土) 14:07:49.29 ID:vBnj6Fxn.net
- >>45-46
俺もそんな感じ。
Xilinxはそういう客層との関わりから離れようとしてるんじゃないかな。
集中と選択、だろね。
- 50 :774ワット発電中さん:2017/07/22(土) 17:09:37.93 ID:XglvVRxM.net
- >>47
最新のデバイスのultrascale使ってるやつとかいるのか疑問。
そろそろintelに移行するかな。
- 51 :774ワット発電中さん:2017/07/23(日) 19:55:06.75 ID:huHrNkxA.net
- >>44
動いてそうなのと、何かあったときに文句が言えるのとは違う
- 52 :774ワット発電中さん:2017/07/29(土) 18:40:49.84 ID:XstsHznG.net
- LED : out std_logic_vector(17 downto 10, 7 downto 0);
みたいな定義をしたいんだけど、何かうまい方法ある?
- 53 :774ワット発電中さん:2017/07/31(月) 07:38:00.48 ID:0iuK+U/s.net
- >>52
&
- 54 :774ワット発電中さん:2017/08/02(水) 18:01:13.17 ID:fssG1CYf.net
- >>52
基本的にはできない。
意図によってそれに変わる手段はあるかもしれない。
ほかの言語でも↓見たいな配列ってできないものがほとんどでしょ。
int a[17..10,7..0];
ただ、これも目的によってそれに変わる手段があったりもする。
- 55 :774ワット発電中さん:2017/08/02(水) 20:09:34.56 ID:gu7g6lts.net
- 歯抜けの配列作って何か便利なの?
255の次は1024になるかと思ってもそうはならないし。
- 56 :774ワット発電中さん:2017/08/03(木) 07:30:52.23 ID:QDmnO3no.net
- 不定で埋めればいい
- 57 :774ワット発電中さん:2017/08/03(木) 09:14:34.59 ID:WhLG6fxr.net
- 使わないビットは繋がなきゃいいだけだと思うのだが、
あえて、定義をしないってのがな。
- 58 :774ワット発電中さん:2017/08/03(木) 11:28:40.76 ID:9Z95BLB1.net
- キャッシュにすればいいと思うの。
- 59 :774ワット発電中さん:2017/08/07(月) 19:10:58.72 ID:q8k3v3br.net
- 合成レポートに警告出るのがいやなのでは?
レジスタとかを実装するときにword単位でreg定義するとそんな感じにできるといいなあと思うことがある。
- 60 :774ワット発電中さん:2017/09/13(水) 19:26:48.33 ID:6sGSZlbl.net
- 8bitのデータ幅じゃないROMやRAMのアドレス出すとき最下位をA0じゃなく、
A1やA2にしたいときはあるな。
ROM側のデータシートもA0以外から始まってることも多いので、
Asic側の信号名と整合取りたくなる。
そういう場合は普通に最上位階層から使わないA0等も出力しちゃって、
合成のときに使わない信号をVirtualPin指定してる。
- 61 :774ワット発電中さん:2017/09/15(金) 14:59:12.36 ID:slx9nfd5.net
- A0も使っておかないとデバッグの時x2してとかになると煩わしい。こともある。
- 62 :774ワット発電中さん:2017/09/25(月) 14:55:10.39 ID:clfh/CVp.net
- >>60
[26:2] は別にいいんじゃね?
[17..10,7..0] は気持ち悪い。
- 63 :774ワット発電中さん:2017/10/26(木) 23:56:59.35 ID:Yf7KEgau.net
- 最近ちょっとしたプロジェクトをSystemVerilogに書き換えてるんだけど、
interface機能いいわ。ポートまわりめっちゃスッキリする。
あとenumもModelSimが値を名前で表示してくれるからデバッグ捗る。
- 64 :774ワット発電中さん:2017/12/09(土) 02:51:17.52 ID:CpO3hyNQ.net
- 学校で教えてるのは、Verilogが多いと思う。
- 65 :774ワット発電中さん:2017/12/27(水) 13:03:13.15 ID:22mySsTl.net
- 家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。
グーグル検索⇒『武藤のムロイエウレ』"
K9OCPSVO8P
- 66 :774ワット発電中さん:2018/03/13(火) 12:34:18.85 ID:90f9nJid.net
- 東芝情報システム が 車載半導体技術者を募集しています なう
- 67 :774ワット発電中さん:2018/03/14(水) 10:37:10.81 ID:XfddRtpO.net
- そういえば南武線の沿線にトヨタがしこたま求人広告出してたって話があったな
- 68 :774ワット発電中さん:2018/03/15(木) 15:20:46.27 ID:kI6BPI83.net
- 労働生産性の低い業種は人いかんやろ。
- 69 :774ワット発電中さん:2018/03/26(月) 15:47:50.84 ID:zDhlItNO.net
- 定石の記述テクニック的なことを紹介している書籍ってあまりないよねぇ
たとえば、VHDLで条件コンパイルってできないよなぁ
generateとかは使えるところに制約あるし
無理やりC用のプリプロセッサを通して使ってたこともある
型変換のリファレンスとか諸々まとまった書籍ないかな
- 70 :774ワット発電中さん:2018/03/26(月) 19:32:41.92 ID:fsOFhLcz.net
- 洋書はいろいろあるけど、和書は無いね
- 71 :774ワット発電中さん:2018/03/26(月) 22:13:34.37 ID:6tW+bpP3.net
- 洋書で有名なものってありますか?
- 72 :774ワット発電中さん:2018/03/27(火) 06:53:47.58 ID:J2Zah2sk.net
- VHDLで型変換とかアタマのオカシイ奴が沸いてきた
- 73 :774ワット発電中さん:2018/03/29(木) 21:23:27.50 ID:u96GUgDf.net
- テーブル作ろうと思って reg & initial で書いたら
initial は合成しないからねっ!て警告された。
ISE は出来たのに。dc のバカっ。
- 74 :774ワット発電中さん:2018/03/29(木) 22:36:12.39 ID:EE1BJGAt.net
- dcって何?
- 75 :774ワット発電中さん:2018/03/29(木) 22:49:42.83 ID:hOKwfj7J.net
- design compiler(Synopsys)。
結局のところ、FPGAの回路設計とASICの回路設計は似て非なるもの、
と考えるのが良いんじゃないかな
- 76 :774ワット発電中さん:2018/03/30(金) 00:11:21.22 ID:kCXoPplu.net
- 結局、wire にして generate で書いたけど。
FPGA は ROM を使ってくるからテーブル使いやすいけど
ASIC だと BIST の問題もあるし、ROM は使いにくいからね。
ゲートに落とすと 1clock は厳しい。
- 77 :774ワット発電中さん:2018/04/02(月) 00:15:31.58 ID:JwQQEqY4.net
- >>73
しないよ
リセット線が無いとどうしていいかわからないし
initial分かかれたものをどう半導体レイアウトにするんだ
- 78 :774ワット発電中さん:2018/04/02(月) 07:43:39.94 ID:tVqw9/vs.net
- そもそも、論理合成する時点でinitial使っている時点でおかしいと思わないのか
FPGAですら
- 79 :774ワット発電中さん:2018/04/02(月) 14:09:33.38 ID:67HRidYA.net
- 普通ROMなんかプリミティブ使うだろ
ISEならcoregenだ
- 80 :774ワット発電中さん:2018/04/02(月) 15:48:42.89 ID:UH6nVK+8.net
- 周りの人に聞くことなく、ひとり独学でやっていると
時々ヘンなことをやってしまう場合があるね、Lonely合成なだけに・・・
- 81 :774ワット発電中さん:2018/04/02(月) 19:05:49.56 ID:5ENxwXa6.net
- なかなか身の回りに詳しいのが居ないんよ
- 82 :774ワット発電中さん:2018/04/02(月) 19:16:04.56 ID:1Ik7NjaL.net
- >>77
initial とリセットは何の関係もないだろ。
- 83 :774ワット発電中さん:2018/04/02(月) 20:45:08.36 ID:4QsISNxU.net
- >>82
FPGAはリセット線無くても初期値決まるよね
ASICは通常初期値決まらないよね
そのデザインコンパイラーに、ROMを合成できるセルの部品はあるのかな。
通常フラッシュIPとか専用のを使ってFPGAとは比べものにならないくらい面倒になるんだけど
なんでinitialで合成できるのか教えてもらえますか?
- 84 :774ワット発電中さん:2018/04/02(月) 20:49:29.86 ID:4QsISNxU.net
- わたくし勉強不足で独学でFPGAとASICを身につけたんでよくわからないんです。
あと派遣期間が長く転職回数も多いのですがどこか年収600万円以上もらえる仕事があったら教えてもらえますか?
- 85 :774ワット発電中さん:2018/04/02(月) 22:46:57.90 ID:aWZt2mJD.net
- ダメだこりゃ
- 86 :774ワット発電中さん:2018/04/02(月) 23:24:35.20 ID:11tQn8Ni.net
- てst
- 87 :774ワット発電中さん:2018/04/04(水) 00:15:43.43 ID:fYJ4U2VL.net
- 年収低いけど
不正脈出るくらいの職場よりましかな?
でも、前の職場の方が楽しかったかもしれない。
- 88 :774ワット発電中さん:2018/04/04(水) 06:38:59.94 ID:WmskONQo.net
- もう、技術以外で何を売るかを考えた方がいいよ。
- 89 :774ワット発電中さん:2018/04/04(水) 06:40:03.83 ID:XJC976SS.net
- >>88
人か?人なんだな?
- 90 :774ワット発電中さん:2018/04/04(水) 06:41:36.28 ID:Pkb8ccnt.net
- 臓器
- 91 :774ワット発電中さん:2018/04/04(水) 07:09:01.76 ID:WmskONQo.net
- 犯罪はだめだぉ。
- 92 :774ワット発電中さん:2018/04/04(水) 07:42:19.68 ID:TXXd8oqg.net
- ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで
- 93 :774ワット発電中さん:2018/04/04(水) 08:48:33.67 ID:bZ8C2n/b.net
- >>87
>不正脈出るくらいの職場
組織ぐるみで不正を行っているってこと?
- 94 :774ワット発電中さん:2018/04/04(水) 10:21:21.76 ID:zwEq6WSM.net
- 単純に火の車なんですよ
- 95 :774ワット発電中さん:2018/04/05(木) 07:43:09.77 ID:dGwsOjWq.net
- >>93
病気になるよりましってことじゃね
- 96 :774ワット発電中さん:2018/04/05(木) 08:01:03.60 ID:JsehYMhi.net
- >>92
AV1 エンコーダ頼む。
3000円払うわ。
- 97 :774ワット発電中さん:2018/04/05(木) 08:36:23.29 ID:dGwsOjWq.net
- >>96
なにそれ?AVのモザイク消す奴?
- 98 :774ワット発電中さん:2018/04/08(日) 10:56:54.84 ID:rCCRb1ol.net
- ROMにするか組合せゲートにするかの境目は何?
- 99 :774ワット発電中さん:2018/04/08(日) 11:46:23.68 ID:XHtpeZ/Y.net
- >>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?
DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる
- 100 :774ワット発電中さん:2018/04/08(日) 12:07:47.36 ID:vxzksf4t.net
- サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に
- 101 :774ワット発電中さん:2018/04/08(日) 12:59:41.69 ID:1Z9UvkuV.net
- ROM/RAM 使ったら BIST が面倒くさいだろ。
仮レイアウト前にサイズも決めないといけないし。
- 102 :774ワット発電中さん:2018/05/07(月) 15:31:18.46 ID:R2BqqEak.net
- Xなら迷わず分散RAM
- 103 :774ワット発電中さん:2018/05/20(日) 10:29:14.78 ID:x0B72zUB.net
- BISTがめんどくさいってシミュでの話?
- 104 :774ワット発電中さん:2018/05/21(月) 02:01:24.37 ID:N6M41IHY.net
- 質問お願いします。
XILINXのARTIX7を使ってみようと考えていますが、使う前にデータシートを読んでいます。
ピンの使い方は何となく分かるのですが、ROMから書き込みがいまいちわかりません。
INIT_B と PROGRAM_B は、何か違うのでしょうか?
使い方がイメージできずに困っています。
マイコンで言うMCLRピンは、どれになるのでしょうか?
- 105 :774ワット発電中さん:2018/05/21(月) 08:27:24.36 ID:y1JP0ikf.net
- >>104
ザイリンクスノ代理店に問い合わせてね
wwww
- 106 :774ワット発電中さん:2018/05/21(月) 09:46:27.70 ID:4TnD0RWb.net
- >>104
データシートより7 Series FPGAs Configuration User Guide読め
古いバージョンなら日本語版もある
- 107 :774ワット発電中さん:2018/05/21(月) 09:56:56.97 ID:+YPLsCwK.net
- ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』
TCKKJ
- 108 :774ワット発電中さん:2018/05/21(月) 10:08:25.31 ID:ZNp/W5B3.net
- >>106
ありがとうございます。
コンフィグだけで取説があるんですか?
本体データーシートも入れると、皆さん1000ページ以上も読んでいるんですか?
_bの意味がやっとわかりました。反転論理の意味ですね。
n○○のほうがわかりやすい。
- 109 :774ワット発電中さん:2018/05/21(月) 11:43:27.59 ID:x0GPd2lL.net
- FPGAで何がしたいのかわからんけど、まずは評価ボードを買って動かしてみる方がいいんじゃね?
- 110 :774ワット発電中さん:2018/05/21(月) 22:37:16.84 ID:12zBjWhl.net
- 手軽なのはArtyなのかな
- 111 :774ワット発電中さん:2018/05/22(火) 01:15:05.76 ID:Qe/4OFoj.net
- Mimasも安くていいと思う
- 112 :774ワット発電中さん:2018/05/22(火) 19:39:03.72 ID:BVOLgdXo.net
- データシート、アプノートは
さらーっと必要なところ読むんよ
日本語版は時々古くて嘘書いてあるから
重要なところは最新英語版を当たること
- 113 :774ワット発電中さん:2018/05/22(火) 19:47:58.18 ID:8N9VW2rQ.net
- 上司に聞いて上司が出世しそうか考える。
- 114 :774ワット発電中さん:2018/05/22(火) 23:16:17.90 ID:wMRVGYZY.net
- FPGA使った回路設計するなら、データシート・アプリケーションノート読むのも
大事だけど、評価ボードの回路図参考にするのは必須
あと、コンフィグ関係のピンをユーザーI/Oピンと共用するようなことは
事前に試して確認できないかぎりやらないこと
- 115 :774ワット発電中さん:2018/05/23(水) 10:34:03.17 ID:VDeJSuEE.net
- >>114
評価ボードの回路図、参考はいいけど鵜呑みはイカン
内容理解して確認した上で設計しないと
市販品にもバグはある
- 116 :774ワット発電中さん:2018/05/27(日) 07:31:00.41 ID:TghQUmJ6.net
- FPGA評価ボードで魔方陣の全解計算は出来ますか?
- 117 :774ワット発電中さん:2018/05/27(日) 10:00:26.24 ID:PdBhvnQO.net
- >>116
サイズとか時間制約とか分からんけど
チューリング完全なら出来るんだろ、きっと。
- 118 :774ワット発電中さん:2018/05/27(日) 10:07:32.60 ID:7+Hv/v9Q.net
- FPGAにCPU載せられるんだから、できるかできないかで言えば、できる。
- 119 :774ワット発電中さん:2018/05/27(日) 10:27:47.95 ID:EfXBy/Em.net
- いみねー
- 120 :774ワット発電中さん:2018/05/27(日) 11:18:48.89 ID:7+Hv/v9Q.net
- だって、質問がアレだし
- 121 :774ワット発電中さん:2018/05/27(日) 19:18:21.30 ID:X/cUURup.net
- 多分、5x5より大きな魔方陣の解の厳密な数は求まってない。
本質的に異なる解の数は、
5x5の時に275,305,224個。
6x6だと2*10^19ぐらいと見積もられている。
このサイズは時間的に無理だね。
- 122 :774ワット発電中さん:2018/05/27(日) 19:26:01.24 ID:S4YcNPa7.net
- >>121
たぶんそれはCPUでALU1個での見積もりですよね。
ALU100個で一斉チェックしたら加速度的に早くならないかな。
- 123 :774ワット発電中さん:2018/05/27(日) 19:33:16.96 ID:a8DRxYzv.net
- >121 の説明が正しいとすれば
2*10^19 -> 2*10^17、になるだけじゃないの?
誤差のうちだな
- 124 :774ワット発電中さん:2018/05/27(日) 20:13:08.55 ID:X/cUURup.net
- 123 の言うとおり。
勘違いしないように言っとくと、チェックするもの全てが解になるわけじゃない。
5x5の場合、解数は2億個程度だけど、しらみつぶしに調べたら25!(=約1.5*10^25)個をチェックするはめになる。
スパコン京は、ALUが70万個ぐらいあるけど、このままじゃ全く歯が立たない。
まして100ALUなんてゴミ。
やってみりゃわかるけど、5x5だってかなり努力しないと数えられないよ。
- 125 :774ワット発電中さん:2018/05/27(日) 22:43:28.77 ID:xgXiLOis.net
- 話をすり替える人は黙って下さい。
- 126 :774ワット発電中さん:2018/05/28(月) 23:39:15.90 ID:VVCU05lv.net
- >>116
>FPGA評価ボードで魔方陣の全解計算は出来ますか?
出来るといくらくれるの?
- 127 :774ワット発電中さん:2018/05/29(火) 10:41:01.97 ID:aGho2COM.net
- アルゴリズムを固めるのが先
PC上のソフトでそれ組めないやつがFPGA上で実装できるとは思えない
- 128 :774ワット発電中さん:2018/05/29(火) 11:20:26.12 ID:Riarl8cu.net
- CPU上のソフトをFPGAでハード実装すると速くなると幻想してる勘違いさんへ。
アプリにもよるが、単純に速度比較するとほとんどの場合FPGA実装はPC上のソフトより遅い。
ハード固有の最適化などを駆使してやっと、速くなることがあるようなもの。
CPUはコア数多いし、圧倒的にクロックが速い。
- 129 :774ワット発電中さん:2018/05/29(火) 11:24:52.41 ID:Riarl8cu.net
- CPUは→最近のCPUは
fpgaで一桁以上速くできるのはかなりレア。
- 130 :774ワット発電中さん:2018/05/29(火) 12:48:41.10 ID:wVZyRWOY.net
- よーし、パパ吉野家でFPGA並列演算しちゃうぞー
- 131 :774ワット発電中さん:2018/05/29(火) 14:23:57.18 ID:OxcIqcd8.net
- まっとうな事いってるな
FPGAの速度は、昨今のCPUに比べて おおよそ 1/10
実際やてみれば直ぐわかることだが、意味のある回路を動かそうとしたら 400MHz 程度でもかなり厳しい
速度は1/10だが、例えば 並列で動かせる演算器は 100倍
結果、うまく使えば、10 倍速くできる
そんな風な使い方しないとメリット出せない
ようは、並列度を上げられないような事象以外へ適応しても 効果は期待できない
残念ながら、自然界ではそういう事が多いからな
- 132 :774ワット発電中さん:2018/05/29(火) 19:08:46.89 ID:wVZyRWOY.net
- お金くれるならやるよ
無いなら自分でやれ
- 133 :774ワット発電中さん:2018/05/29(火) 19:35:56.92 ID:K7oruib4.net
- やって見せるのが先だろ。派遣のクソが
- 134 :774ワット発電中さん:2018/05/29(火) 19:50:09.70 ID:wVZyRWOY.net
- >>133
ウンコ好きなの?3トンぐらい置いておくね
- 135 :774ワット発電中さん:2018/06/15(金) 07:54:09.70 ID:ElaqikfY.net
- 何で、リクナビで「QuEST Global Services Pte. Ltd.」という派遣会社を通じて、ソニー半導体で働く人を募集しているの?
- 136 :774ワット発電中さん:2018/06/15(金) 08:00:52.13 ID:ElaqikfY.net
- >>135
著しい中小企業レベルの待遇で、最高収益を上げたソニー半導体企業で奴隷のように働かせる差別的待遇を、同一労働同一賃金の観点から説明をソニー社員の方から文書で頂かないと働きません。他をあたってください
- 137 :774ワット発電中さん:2018/06/15(金) 08:11:50.40 ID:ElaqikfY.net
- >>136
朝から5chで、オレ様を正社員で採用せず、派遣で格安の給与で採用しようとするソニーという企業に一人労働闘争を5chでするだけの簡単なお仕事。
- 138 :774ワット発電中さん:2018/06/26(火) 15:36:58.67 ID:XNuWi7JW.net
- wire aに入ってる値をreg bに代入する方法ってないですか?
- 139 :774ワット発電中さん:2018/06/26(火) 16:02:37.67 ID:PDnrpkzr.net
- alwaysの中でb=a;
- 140 :774ワット発電中さん:2018/06/26(火) 18:26:52.95 ID:f1mynGhT.net
- always @* b=a;
- 141 :774ワット発電中さん:2018/06/26(火) 23:15:56.02 ID:SFAb3Z6E.net
- >>2にVerilogシミュレータがあるのにVHDLシミュレータがないのはなぜでしょうか?
- 142 :774ワット発電中さん:2018/06/26(火) 23:21:19.18 ID:i7I9Vv4S.net
- 誰も書かなかったからじゃない?
model SIM逝っとけとか思ってる人多い
iverilogに比べて何故か?GHDLはメジャーじゃない気はする
- 143 :774ワット発電中さん:2018/06/26(火) 23:37:14.09 ID:SFAb3Z6E.net
- FreeHDLを使ってみているのですが、いまいち期待通りに動きません
VHDLを複数ファイルに分割すると、ここ↓と同じエラーが出ます
http://d.hatena.ne.jp/miyox/20061109
しかもコンパイル手順を直しても改善しません
私の環境のディレクトリ構成が悪いのかなと試行錯誤しているところです
GHDLの方が使いやすいでしょうか?
- 144 :774ワット発電中さん:2018/06/27(水) 08:26:59.91 ID:/XcloPUj.net
- >2 の ・FPGA
に出てるFPGAベンダで、Free板の"modelsim"も提供してるとこが有るんだから それ使えって
皆そう思ってるでしょ
(無料)って書いてないから有料しかないと思ったのかな?
- 145 :774ワット発電中さん:2018/06/27(水) 09:14:58.86 ID:4ZI5lwCw.net
- ハードウェア記述言語ってなんか
アンドロMDAに似てるな
- 146 :774ワット発電中さん:2018/06/27(水) 09:26:18.30 ID:3/mEpbiz.net
- >>145
アンドロゲン依存性前立腺癌細胞株(MDA −PCa−2b) なんて難しいことを知ってるな!
- 147 :774ワット発電中さん:2018/06/27(水) 10:15:09.33 ID:m7y1cztS.net
- Free板modelsim、
xilinxは止めちゃった
残ってるのはalteraだっけ?
あとmicrosemiか
他にある?
つーか、modelsim自体がなんだかよくわかんね
シエスタ?だかそんな名前の奴に統合予定?
- 148 :774ワット発電中さん:2018/06/27(水) 10:38:40.36 ID:72I1EgWD.net
- >>144
ありがとうございます、試してみます
Icarus Verilogみたいに軽いと嬉しいです
- 149 :774ワット発電中さん:2018/06/27(水) 13:26:53.08 ID:/XcloPUj.net
- インストールすると 1GB ぐらい、その意味では軽くは無い
他って言うと、
・ASICベンダ推奨ツール類 (高価)
(新車買うより遥かに高額だし、そもそも個人相手に売ってくれるのか?)
....
になるから、選択肢は多くは無い
- 150 :774ワット発電中さん:2018/06/27(水) 13:57:01.84 ID:hZrnML2f.net
- >>146
https://codezine.jp/article/detail/132
- 151 :774ワット発電中さん:2018/06/27(水) 16:55:10.77 ID:3/mEpbiz.net
- >>149
Active-HDL なら軽並みに安いよ。
- 152 :774ワット発電中さん:2018/06/27(水) 17:34:09.72 ID:NgiHYtTd.net
- Altera版フリーのmodelsimが、単独でインストールできるから楽
verilogなら(フリーじゃないけど) veritak
- 153 :774ワット発電中さん:2018/06/27(水) 19:37:50.58 ID:LTYJpHhA.net
- とりあえず以下2件ダウンロード中なのですが、どちらもサイズが大きいですね…
・Quartus付属のModelSim(あえて少し古めのバージョン)
・Active-HDL学生版
VHDLの学習用に、make一発ですぐ波形まで出せる軽いシミュレータがあるといいなぁ、と思っているのですが、ちょっとくじけそうです
>>152
Verilogならiverilogの方がWindowsでもLinuxでも使えていいと思います
- 154 :774ワット発電中さん:2018/06/28(木) 00:12:29.41 ID:WsYmkx+Z.net
- 前にも議論があったと思うけど、インストールに1GBはおろか10G,20GBが必要でも
問題になるようなことは考えにくいんだけどな
MS-SurfaceみたいなSSD交換不可なやつをぎりぎり一杯で使ってるとしても
インストール先をUSBドライブにすればいいだけで、それができないようなソフトは
少ないと思うけど
- 155 :774ワット発電中さん:2018/06/28(木) 07:33:27.96 ID:MPQJS84J.net
- このスレコミュ障ばっかり
- 156 :774ワット発電中さん:2018/06/28(木) 11:16:16.02 ID:xbwfHRAw.net
- どうにかQuartusのModelSimを試すことができました
ダウンロードは重かったですが、起動は軽かったので、いい感じのVHDLの学習環境を作れました
教えてくれた方はありがとうございました
- 157 :774ワット発電中さん:2018/06/28(木) 11:35:24.34 ID:/Z6Aj/He.net
- ぼくがかんがえたFPGA三大参入障壁
・自分がどの実機を買うべきなのかわかりにくい
・開発環境をインストールするまでが長い
・コンパイル時間が長い
- 158 :774ワット発電中さん:2018/06/28(木) 12:11:54.40 ID:WsYmkx+Z.net
- FPGAの開発環境は、クラウドベースにふさわしいと思うんだけど
どのメーカーも始めないね
- 159 :774ワット発電中さん:2018/06/28(木) 13:04:19.65 ID:5KP8NaWW.net
- どんだけサーバーリソース食うか
恐ろしいんじゃね
C/C++の環境とはそれこそ桁が3〜4つくらい違いそうだ
- 160 :774ワット発電中さん:2018/06/28(木) 13:26:46.54 ID:WsYmkx+Z.net
- 当然無償とはいかないだろうから時間課金とかで
ハイエンドPCの10分の1くらいの時間で合成・配置配線できたら
需要はありそうなんだけどね
大昔、WebPackでHDL使えるようになる前のほんの一時期
無償で Xilinxがそういうの提供してたような・・・(記憶違いかも)
- 161 :774ワット発電中さん:2018/06/28(木) 14:22:38.69 ID:5KP8NaWW.net
- 時代は繰り返すTSSか。w
PC用のHDLベンチとかある?
合成/配置の時間を競うの
- 162 :774ワット発電中さん:2018/06/28(木) 14:27:58.96 ID:VsWFEnmJ.net
- 合成は たいした事無い
一番時間費やすのはシュミレーション
- 163 :774ワット発電中さん:2018/06/28(木) 14:30:27.77 ID:5KP8NaWW.net
- うん、時間かかるから細切れでやってる
シミュレーション
- 164 :774ワット発電中さん:2018/06/28(木) 14:44:26.74 ID:XaHhZDRp.net
- >>161
シミュレーションなら
http://www.veripool.com/verilog_sim_benchmarks.html
- 165 :774ワット発電中さん:2018/06/28(木) 20:55:56.18 ID:Ue66jHRz.net
- >>162
合成三日でインプリ失敗とかむかし大規模FPGAでやってたわ。
今民生品は、小さいのしか使わなくてわけわからん
- 166 :774ワット発電中さん:2018/06/28(木) 21:41:18.51 ID:5KP8NaWW.net
- >>165
スワップしまくりか
- 167 :774ワット発電中さん:2018/06/28(木) 21:56:04.89 ID:Ue66jHRz.net
- >>166
DSP48をフルに使って45万円したVertex5を4つ使ってとにかく早く動かす。簡単なお仕事で、使用率90%あたりから、タイミングメットしませーん。ウワーン。それを超えるチップ無いでーす
- 168 :774ワット発電中さん:2018/06/28(木) 23:13:04.60 ID:qxrhGPux.net
- 確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
- 169 :774ワット発電中さん:2018/06/28(木) 23:13:16.10 ID:qxrhGPux.net
- 確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。
- 170 :774ワット発電中さん:2018/06/29(金) 11:17:37.38 ID:8w5CZ6Nh.net
- 配置配線のパラメタチューニングしたりする?
訳もよく判らず弄りすぎで大体元の木阿弥なんだけど
- 171 :774ワット発電中さん:2018/06/30(土) 01:22:10.53 ID:ubj+ZUwj.net
- チューニングのやり方がわからない(ため息)
Lチカぐらい簡単なやつは10秒でコンパイルできればいいんだけど、最適化を完全オフにするパラメータってあるの?
- 172 :774ワット発電中さん:2018/06/30(土) 21:09:03.10 ID:Sfoi0kwX.net
- (回路合成だけでなく)配置配線のツールもサードパーティが開発できればいいのにぃ
- 173 :774ワット発電中さん:2018/06/30(土) 21:41:17.06 ID:nqUix+/d.net
- >>172
開発すればいいんじゃね?
ダメってことはないだろ。
- 174 :774ワット発電中さん:2018/06/30(土) 22:07:31.38 ID:Sfoi0kwX.net
- >>173
ちょっとやってみたいけど、NGDやNCDのフォーマットに関する公開資料なくね?
- 175 :774ワット発電中さん:2018/06/30(土) 23:10:21.30 ID:ZOEfo4cc.net
- >>174
http://www.clifford.at/icestorm/
Project IceStorm aims at reverse engineering and documenting the bitstream format of Lattice iCE40 FPGAs
Why the Lattice iCE40?
It has a very minimalistic architecture with a very regular structure.
さぁやってくれ。
- 176 :774ワット発電中さん:2018/07/01(日) 04:50:58.19 ID:dOFnAt44.net
- >>175
やっぱりリバースエンジニアリングになるのか(無茶言わんでくれ)
でもLatticeはノーマークだったから教えてくれてありがとう
- 177 :774ワット発電中さん:2018/07/01(日) 08:44:29.19 ID:21VsAuPz.net
- 正式に開発したいなら教えてくれるかもよ。
NDA いるだろうけど。
- 178 :774ワット発電中さん:2018/07/02(月) 10:31:30.98 ID:MCXdyEkQ.net
- FPGAエディタをスクリプト経由で動かすとか
無理か
- 179 :774ワット発電中さん:2018/07/03(火) 21:54:50.62 ID:TUeFXinq.net
- PVA
- 180 :774ワット発電中さん:2018/07/11(水) 21:47:39.62 ID:8xMwsRDE.net
- パーソナル・バケーション・アシスタント?(PVA)
- 181 :774ワット発電中さん:2018/07/12(木) 10:06:12.69 ID:05jJdtnB.net
- ポリビニールアルコール
- 182 :774ワット発電中さん:2018/07/15(日) 10:18:17.63 ID:5+0mEq6F.net
- >>75
>FPGAの回路設計とASICの回路設計は似て非なるもの
ほう、そのこころは?
- 183 :774ワット発電中さん:2018/07/15(日) 11:22:47.11 ID:vemc6UVS.net
- わからんけど
FPGAはセル単位になってしまうとか?
- 184 :774ワット発電中さん:2018/07/15(日) 11:34:53.49 ID:vNqsj68u.net
- FPGA だとデュアルポートRAMや演算器を優先的に使う設計するけど
ASIC だとシングルポートRAM、演算は出来るだけ単純化とかかな。
ASIC はプロセスにもよるけど。
それ以上に検証が違うけど。
- 185 :774ワット発電中さん:2018/07/16(月) 08:35:43.62 ID:Wtf6edTJ.net
- ASICはテストパターンの労力で100倍違う
- 186 :774ワット発電中さん:2018/07/16(月) 10:46:20.61 ID:yJ/wSyff.net
- 10年以上前、フルスキャンで故障検出率100%にしたらテスト部門が喜んでた。
最近のテストはどうなってるのだろう?
- 187 :774ワット発電中さん:2018/07/16(月) 11:24:20.42 ID:3UJr0rsO.net
- バックエンドは良く知らんけど、最近はゲートシミュレーションはやらないな。
故障検出はスキャンパス張るんだろう。
- 188 :774ワット発電中さん:2018/07/16(月) 13:22:40.06 ID:yJ/wSyff.net
- RTLとネットリストはFormalityでチェック
自動レイアウトはAstroでタイミングドリブンレイアウト
10年前と大きく変わってないのかな。
- 189 :774ワット発電中さん:2018/07/16(月) 13:24:27.45 ID:wSop7A4q.net
- おいしいところだけ食い散らかして逃げる強姦野郎はどこにもいる.。
- 190 :774ワット発電中さん:2018/07/16(月) 14:37:36.95 ID:3UJr0rsO.net
- 設計は Verilog で RTL 記述だから 20年前から変わってないぜ!
- 191 :774ワット発電中さん:2018/07/16(月) 14:39:14.67 ID:tiCVefT4.net
- >>189
>強姦野郎
?
例えが謎
- 192 :774ワット発電中さん:2018/07/16(月) 15:36:29.12 ID:wSop7A4q.net
- ビジネス用語: 無理やり種だけ入れてちゃんと育てずとんずら
- 193 :774ワット発電中さん:2018/07/16(月) 15:49:38.35 ID:tiCVefT4.net
- なるほど、下品な例えだということはわかった
- 194 :774ワット発電中さん:2018/07/17(火) 04:42:03.49 ID:cTyf8I5G.net
- >>192
それやったわー
ASIC一人設計して、派遣やったんだけど年収430→440で辞めてきたー
そのあとは知らない。設計書は残してきた
- 195 :774ワット発電中さん:2018/07/17(火) 07:28:05.71 ID:7EqePAf9.net
- 千摺野郎もいる。
HDLのインデントが気に食わないので修正アップデートする奴。
- 196 :774ワット発電中さん:2018/07/19(木) 16:47:49.09 ID:nh5u8iIC.net
- 順列発生カウンタとか組合せ発生カウンタとかないね。
- 197 :774ワット発電中さん:2019/01/02(水) 20:56:55.49 ID:4FE0iZpC.net
- 最近Verilog勉強し始めたんだけど
論理回路を意識したほうが良いのだろうか
レジスタ記述はDFFを組み合わせてるところがプログラム的に理解できるけど
カウンタの記述とかはもうなんか論理回路が関係なく
マイコンのプログラムとあまり変わらない感じがする
- 198 :774ワット発電中さん:2019/01/03(木) 11:28:30.37 ID:1yOngvvI.net
- そう見えてるうちはまだ分かってないって事だ
- 199 :774ワット発電中さん:2019/01/29(火) 00:40:42.08 ID:T9JVzTtV.net
- インテルかXilinxか
それが問題だ
- 200 :774ワット発電中さん:2019/01/29(火) 03:53:26.19 ID:Z2u9OB2K.net
- wniの鈴木里奈の脇くっさ
(6 lゝ、●.ノ ヽ、●_ノ |!/
| ,.' i、 |}
', ,`ー'゙、_ l
\ 、'、v三ツ /
|\ ´ ` , イト、
/ハ ` `二 二´ ´ / |:::ヽ
/::::/ ', : . . : / |:::::::ハヽ
https://twitter.com/ibuki_air
(deleted an unsolicited ad)
- 201 :774ワット発電中さん:2019/02/04(月) 09:45:38.85 ID:qh3PYBZy.net
- >>197
カウンタもDFFの組み合わせには見えないの?
- 202 :774ワット発電中さん:2019/02/05(火) 03:11:29.97 ID:UE5XxRte.net
- >>197
Verilog カウンタ で思い出したけど、
a++;
のような書き方って、できるようになったのかな? 以前は、
a << a + 4'd1;
と書かないといけなかったんだが。
- 203 :774ワット発電中さん:2019/02/05(火) 07:32:47.55 ID:FtGyQ+fQ.net
- >>202
systemverilogでできる。
あとそれはシフトだ。他の言語か?
- 204 :774ワット発電中さん:2019/03/17(日) 20:05:03.44 ID:HrvmmVbu.net
- ここが適当かわからないんですが、アサーションで、Aという信号がHのとき、Bクロック信号が、発振してることを確認したいです。周波数は不明とした場合、確認できる方法ありますか?
- 205 :774ワット発電中さん:2019/03/24(日) 23:11:22.82 ID:PFDyOjPv.net
- >>204
BのエッジでAをFFに取り込むってのは?
一定時間変化しない場合リセット
でも周波数が不明ってどういう状況よ
- 206 :774ワット発電中さん:2019/03/25(月) 06:22:05.79 ID:N77oml4f.net
- >>205
PLLの設定をするHDLの挙動がおかしくて
意図した通りに設定できてるか確信が持てないということでは?
- 207 :774ワット発電中さん:2019/03/25(月) 08:01:13.77 ID:In9C8NNB.net
- 発振してるなら電圧が 50% になってるやろ。
- 208 :774ワット発電中さん:2019/03/25(月) 08:20:59.22 ID:9b/LVpTG.net
- デューティ比によろやろ…
- 209 :774ワット発電中さん:2019/03/26(火) 21:48:14.34 ID:FtnUFOrJ.net
- クロックが正常発振してることより、
そのクロックがつながってるPLLがロックしてることで調べることはできないの?
- 210 :774ワット発電中さん:2019/03/26(火) 21:52:52.94 ID:FtnUFOrJ.net
- >>197
プログラムさえできれば十分
MatlabがHDLコード吐いてくれる
状態遷移図さえ書けばHDLコード吐いてくれるツールもある
日本でHDLコード書いて給料もらえる会社て
ルネぐらいしか残ってなかったりして
- 211 :774ワット発電中さん:2019/03/29(金) 06:34:21.57 ID:mmZzcG4n.net
- >>210
MATLABのコードジェネレータ使いものになるようになったの?
- 212 :774ワット発電中さん:2019/03/29(金) 06:34:53.89 ID:mmZzcG4n.net
- >>210
あの会社書けない
- 213 :774ワット発電中さん:2019/03/29(金) 07:47:14.23 ID:K3zucI53.net
- >>202
ブロッキングだからカウンタとかには使わない方が安全
- 214 :774ワット発電中さん:2019/04/05(金) 23:08:41.55 ID:YInVUuqy.net
- >>202
a <- a + 4'd1;
- 215 :774ワット発電中さん:2019/04/06(土) 11:02:03.35 ID:dX23tMPq.net
- a <= ++a;
なら大丈夫だけど、だったら
a <= a + 1’b1;
で良いだろう
- 216 :774ワット発電中さん:2019/06/09(日) 22:10:21.52 ID:SL7XSlL5.net
- >>210
ソシオネクストへドゾー
- 217 :774ワット発電中さん:2019/06/20(木) 19:57:45.71 ID:GUG6mT4k.net
- >>216
今、AMBA AHBで設計もしくは検証作業されてますか?
- 218 :774ワット発電中さん:2019/06/20(木) 23:43:31.23 ID:0fKjwKHJ.net
- いいえ AXI です。
- 219 :774ワット発電中さん:2019/08/06(火) 06:43:51.13 ID:n14PzaoE.net
- ‪この会社。出禁レベルで関わらないこと。‬
‪株式会社クイック https://919.jp/‬
- 220 :774ワット発電中さん:2019/08/11(日) 22:54:59.37 ID:uvgebIoU.net
- 592 名前:名無しさん [sage] :2019/08/11(日) 07:18:32.40 ID:k64D6ck10
ななしっくす土屋 会話集
ルネサス退職者スレ
https://mao.2ch.net/test/read.cgi/haken/1517763997/
- 221 :774ワット発電中さん:2019/08/12(月) 19:25:53.24 ID:n1fA+4aw.net
- ARM内蔵のSoCFPGAを軽く使ってみたけど、
メリットを享受するには、ライセンス料払わなあかん。
そうでないならio操作にmmap多用する事になり、いちいち遅い。
これなら素直にNios2使った方が良いのでは?と疑問。
- 222 :774ワット発電中さん:2019/08/12(月) 20:07:13.96 ID:L6YIiAC1.net
- 一旦mmapしたら、後は単純 Read/Write だけだろ
主張してる事の意味がわからん
ライセンスうんぬんも、具体的に何を指してるか不明
有料IPの事を指してるのならそれは当然
ARM-SOC が余りにも安過ぎて、"ARM内蔵FPGA" に殆どメリットを見出せない
これが個人的な感想だな
- 223 :774ワット発電中さん:2019/08/12(月) 22:18:22.27 ID:tMehRPm7.net
- ライセンス料?
- 224 :774ワット発電中さん:2019/08/13(火) 08:26:34.13 ID:HUMCNrTF.net
- そうなのか。俺が悪かった。
- 225 :774ワット発電中さん:2019/08/15(木) 15:44:15.54 ID:pV/rv6F9.net
- 株式会社クイック 経由でFPGAの転職を探してはならない。
- 226 :774ワット発電中さん:2019/09/04(水) 01:39:59.67 ID:z8kJl5Ct.net
- なぜでしょうか
- 227 :774ワット発電中さん:2019/09/04(水) 19:30:41.62 ID:cgKMtBSI.net
- 技術力わからんから
- 228 :774ワット発電中さん:2019/09/05(木) 02:42:40.61 ID:HHk3R5Af.net
- どこ経由がオススメでしょうか
- 229 :774ワット発電中さん:2019/09/05(木) 20:24:45.30 ID:xqDGix66.net
- >>228
どこも技術力落ちているからミリ
- 230 :774ワット発電中さん:2019/09/09(月) 07:55:42.02 ID:cA9O0egb.net
- Niosの周波数によるけど、
Nios100MHzで動かしたものと比べて、
Arm側からのmmapでのアクセスが遅いなんてことは全くないが。
- 231 :774ワット発電中さん:2019/09/12(木) 20:56:46.77 ID:qr9N38Lr.net
- >>128
ハード的にプログラムしてしまえば、命令のフェッチが不要になるから、高速化出来る。
- 232 :774ワット発電中さん:2019/10/06(日) 11:15:36.16 ID:dxym0Gow.net
- 速くなるのはそこじゃない。パイプライン使えばフェッチ中、別なステージを実行できる
ループを回路展開して1クロックで実行できるようにするとかだな。
- 233 :774ワット発電中さん:2019/10/11(金) 09:42:14.51 ID:nhTX4VfJ.net
- 自作の論理合成、最適化をやっていると驚くべき良い結果が出てくることがある。
きっとこれが定理とか法則の発見だろう。
- 234 :774ワット発電中さん:2019/10/11(金) 10:11:55.27 ID:4Y32/1AH.net
- 違うと思う
- 235 :774ワット発電中さん:2019/10/11(金) 23:39:21.72 ID:aG0iLRs1.net
- >>234
そういう理性的なレスやめようよ。
- 236 :774ワット発電中さん:2019/10/12(土) 10:38:05.12 ID:RzKdKSH+.net
- 次の方 感情的にどうぞ
- 237 :774ワット発電中さん:2019/10/12(土) 12:26:51.98 ID:khNB4vNr.net
- >>233
独りでさびしく Lonely(ロンリー)合成、かな?
- 238 :774ワット発電中さん:2019/10/21(月) 23:05:29 ID:dnB1jmr8.net
- シミュレーションでは仕様通りに動いて、
論理合成が行われない回路を書く新人君が
「奇術言語はそろそろ卒業しような」と説教されていた
- 239 :774ワット発電中さん:2019/10/24(木) 11:26:45 ID:BRtbPalh.net
- 無能な上司だ。
- 240 :774ワット発電中さん:2019/10/24(木) 21:07:26.08 ID:VkbRp6QV.net
- 会社で新人を苛め抜くお前たちを見た。プロジェクトは解散だな。会社もかも
- 241 :774ワット発電中さん:2019/10/26(土) 10:17:35.50 ID:GwGSGksh.net
- >>240
既視感があったけど思い出した。「猫の事務所」だ
- 242 :774ワット発電中さん:2019/11/16(土) 13:05:46.77 ID:9Ewau4oB.net
- シミュレーションで仕様通りに動くんだから、
HLSでやれる仕事アサインするのが正しい仕事の割り振り方
新人だろ?たいしたもんじゃねーか。
俺が入社したときは、今頃、京橋のxx学園に全員集められて最後の集合研修やってたぞ。
- 243 :774ワット発電中さん:2019/12/19(木) 20:21:58.06 ID:hnxpTC/s.net
- vhdlのsignalで値を定義しないで使った場合、論理合成後にどう扱われるか決まりってある?コンパイラによる?
- 244 :774ワット発電中さん:2019/12/20(金) 09:23:02.95 ID:z1QckISy.net
- >>243
「値を定義しない」の意味は?
- 245 :774ワット発電中さん:2019/12/20(金) 18:20:38.12 ID:T+tY9xlp.net
- 多分、宣言したけど初期値も代入もしていないsignalを参照したときの値だと思う
0として扱われるはず
合成時に警告が出る
- 246 :774ワット発電中さん:2020/01/03(金) 00:33:56.15 ID:ur/EQKxz.net
- signalの宣言で初期値のかわりにport名を定義したときはどうなりますか。
以前試したときはportのエイリアスになったが、ググってもそれが定義された動作なのか未定義でツール依存なのか判断つかなかった。
- 247 :774ワット発電中さん:2020/01/03(金) 03:53:34.35 ID:rqJ5ABYq.net
- >>246
仕様はしらんけどエイリアスだろ
名前なんて飾りだよ
- 248 :774ワット発電中さん:2020/01/03(金) 08:38:07.96 ID:BwPd1Tus.net
- >>246
IEEE1076 なんだから買って読め。
- 249 :774ワット発電中さん:2020/01/11(土) 16:17:34.08 ID:j8wuoBDS.net
- シミュレーションでは仕様通りに動くんなら、
当面テストベンチ作成要員にしとけばええがな
そのうち論理合成可能なHDL書けるようになるわ。
- 250 :774ワット発電中さん:2020/02/03(月) 18:21:04 ID:5kdyJ5pE.net
- 何が言いたいのかさっぱり分からんがすごい自信だ。
- 251 :774ワット発電中さん:2020/02/06(木) 16:32:47 ID:voYgKVfD.net
- すなわち論理合成可能なHDL書くにはおれのような非凡な才能が必要で
おまえらがいくら修行しても無理だと言いたいのだ。
- 252 :774ワット発電中さん:2020/02/12(水) 17:05:03 ID:hb+gqpHy.net
- COBOLスレの雰囲気だな、ここは。
- 253 :774ワット発電中さん:2020/03/12(木) 22:34:07 ID:fNF3JFWk.net
- Common Business Oriented Languageの略らしいな(初めて知った)
- 254 :774ワット発電中さん:2020/06/09(火) 15:57:38 ID:yFlSchCo.net
- 2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)
今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?
極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。
- 255 :774ワット発電中さん:2020/06/09(火) 16:35:35.58 ID:/ygxY46j.net
- >>254
簡単な方法はない。
来る信号をBCDにしろ。
- 256 :774ワット発電中さん:2020/06/10(水) 09:12:42.72 ID:KfgmSXBS.net
- >>255
(´・ω・`)無いんですね・・・
- 257 :774ワット発電中さん:2020/06/10(水) 11:34:53.81 ID:5NH3QB02.net
- 100で割ってから100倍するのは明らかに無駄
10で割りながら下の桁から処理するのが定石
- 258 :774ワット発電中さん:2020/06/10(水) 12:14:48.99 ID:s51dlBjb.net
- パラで処理しないの?
- 259 :774ワット発電中さん:2020/06/10(水) 12:28:54.54 ID:5NH3QB02.net
- あっちに答え書いてあったけど
上限が判ってるならテーブル参照が最速かもな
- 260 :774ワット発電中さん:2020/06/10(水) 13:03:45 ID:KfgmSXBS.net
- >>257
そもそもの原理が無駄が多かったですね・・・
ただ、現在は乗除算無しで考えていこうとしているので
中々思いつかない現状です。
>>258
パラレル処理?のことなんですかね
どういった内容になるのか詳しく知りたいです。
>>259
1000個の参照ポイントを用意するって感じですか?
- 261 :774ワット発電中さん:2020/06/10(水) 13:35:47.86 ID:wDcrBWNv.net
- 10bit目観れば512以上か未満か判るだろ
512以上なら5,6,7,8,9のどれか
512未満なら0,1,2,3,4,5のどれか
次に9bit目を観る
・・・
これをパラでやる
- 262 :774ワット発電中さん:2020/06/10(水) 15:17:57.31 ID:ylnvimF5.net
- 2進数を入れたシフトレジスタの左にBCDを格納するシフトレジスタをつなぐ
BCD側のレジスタは全て0にして、下から4ビットずつに区切る
以下の手順を2進数の桁数分繰り返す
BCD各4ビットで0101以上なら0011を足す
1ビット全部左シフトする
- 263 :774ワット発電中さん:2020/06/10(水) 15:18:09.43 ID:obppSqvF.net
- ROM(RAM)を使ったテーブル参照が、 ベスト
最近のヤツなら、BlockRAM:一個程度の消費で済む
既に 100% BlockRAMを使い切ってるてのは、 まず無いいからな
(大量に使うヤツでも、万一の為にそなえ数個程度は残しておくのが普通)
下手な回路を考えてるより、 よほど賢い
- 264 :774ワット発電中さん:2020/06/11(木) 17:22:12.60 ID:W5gPWE03.net
- 100を4回引き算して百の位、余りから10を4回引いて十の位
こんなのでできた気がする。
- 265 :774ワット発電中さん:2020/06/11(木) 18:16:29.95 ID:/d6n/NQn.net
- assign d0= ain % 10;
でいいだろ。
- 266 :774ワット発電中さん:2020/07/18(土) 04:27:24 ID:LUWc9AzA.net
- いいんか?
- 267 :774ワット発電中さん:2020/07/18(土) 13:33:24 ID:t6/0/DIq.net
- 余り%がQuartus18.1.0で合成できたので驚いた。
- 268 :774ワット発電中さん:2020/07/18(土) 22:30:34.19 ID:aK7GOB2Q.net
- まあ加減算よりはリソース消費するだろうけどね…
- 269 :774ワット発電中さん:2020/09/06(日) 22:43:05.26 ID:nWALnjiO.net
- vhdl2008で書いてくるバカを辞めさせる方法
- 270 :774ワット発電中さん:2020/09/06(日) 23:04:31.88 ID:mVpoiEtm.net
- VHDL使ってる時点でね。
- 271 :774ワット発電中さん:2020/09/13(日) 08:33:21.93 ID:vc8Q3h+7.net
- SystemVerilogなら良いのか?
結局は自分が追いつけない物に文句言ってるだけだろう
- 272 :774ワット発電中さん:2020/09/13(日) 11:52:34.31 ID:etV4yc3F.net
- ボキュ
SystemVerilogも使えるから、年収800万円以上出せるなら転職を考えてやってもいいけど、そういう会社が無いのは何で
- 273 :774ワット発電中さん:2020/09/15(火) 00:40:54.18 ID:E4CR/7Zf.net
- verilog始めたばかりです。
100mhzで1クロック幅のパルスを
50Mhzの回路のenableとして使ってるんですが実機の基板で動作しないときがあります。こういうときはどうするのがよいですか?独学だから難しい。
- 274 :774ワット発電中さん:2020/09/15(火) 01:22:18.44 ID:Rn1xNXOs.net
- どう書いたか見せてくれれば
- 275 :774ワット発電中さん:2020/09/15(火) 07:00:57.87 ID:gSh24P2r.net
- 100mHz なら動きそうだけど
100MHz だと50MHzより狭いんだから動かなくて当たり前。
- 276 :774ワット発電中さん:2020/09/15(火) 12:16:14.66 ID:l+ARE9I+.net
- ミリヘルツという解釈w
- 277 :774ワット発電中さん:2020/09/15(火) 12:26:57.90 ID:l62r70fB.net
- 100MHzの間違い。
シミュレーションだと動くんだけど。
単純にパルスを伸ばせばいいのかな。
やってみます。
- 278 :774ワット発電中さん:2020/09/15(火) 14:10:04.48 ID:DLPjG/C3.net
- 100MHzで2クロック分のパルスじゃないと50MHzのクロックでは叩けないよ。
あとは、この2つのクロックが同じ発振源から作られていることとか、
クロックの位相(50Mと100Mのクロック立ち上がりの時間差)なんかも
ちゃんと規定しておかないと、実機では再現性のある動作が期待できないよ
- 279 :774ワット発電中さん:2020/09/15(火) 16:43:27.54 ID:l62r70fB.net
- >>278
ありがとうございます。
100MHzから50MHzを作ってます。
同じクロック元です。
2クロック幅にしてクロック制約調べて入れてみます。
- 280 :774ワット発電中さん:2020/09/15(火) 20:43:10.88 ID:vUS3KOT2.net
- 100MHz enable 立てる
50MHZ enable立っているの発見 ack上げる
100MHz ack上がったの確認 enable下げる
50MHZ enable下がったの確認 ackを下げる
を
送信元クロックで2回FFで叩いて
受信元クロックで1回FFで叩いて
信号をやりとりする
というのが基本だと思っているんだが、どうよ
- 281 :774ワット発電中さん:2020/09/15(火) 20:57:46.72 ID:4uuLZFTp.net
- 無駄
- 282 :774ワット発電中さん:2020/09/15(火) 22:07:57.25 ID:rA2d3GAF.net
- 非同期入力ならシンクロナイザ入れてそこまでやるかもね
- 283 :774ワット発電中さん:2020/09/15(火) 22:17:32.57 ID:1RBfeO/K.net
- なるべく複数のクロックソースを使わないのが基本だよね
どうしても必要なら>>280のようなこと(よく読んでないけど)もやるけど
- 284 :774ワット発電中さん:2020/09/16(水) 06:28:03.80 ID:prDJsXXH.net
- 出力バッファが弱いだけだろう。
- 285 :774ワット発電中さん:2020/09/21(月) 00:27:36.95 ID:GkH9nrzm.net
- 100MHzの源振で、100MHz clockで1clock作り出すというのだから、↑↓で2clock必要だから、
↓の時点で100MHzが食ってくれそうな気もするけどね。
ホールド不足だろうか。
- 286 :774ワット発電中さん:2020/09/24(木) 22:55:18.39 ID:MNKMYjwb.net
- 100MHzで1クロックのパルスを10kHzとか遅いクロックで使うにはどうするの?引き伸ばすの?
- 287 :774ワット発電中さん:2020/09/24(木) 23:15:05.26 ID:BjBwHg8R.net
- それしかないよね
- 288 :774ワット発電中さん:2020/09/25(金) 07:06:21.36 ID:271dMGVm.net
- ハンドシェイクでもいいんやで。
- 289 :774ワット発電中さん:2020/09/25(金) 12:49:28.05 ID:a8sjFznc.net
- >>286
HD映像データ(約74MHz)用メモリの空き領域に音声データ(48kHz)を居候させて、
出し入れする制御は実際に昔やったことがある。
メモリは74MHzで動いているから、読み出したデータは48kHzの1クロック期間ホールドする。
スピード差は3桁(1000倍以上)だが問題ない。
- 290 :774ワット発電中さん:2020/09/26(土) 10:29:23.78 ID:HwNr3S2N.net
- 音声を映像と同じクロックで扱わなきゃいけないのがもったいない。
でもマルチサイクルパスとかクロック載せ替えやるとバグのもと。
- 291 :774ワット発電中さん:2020/09/26(土) 17:42:50.05 ID:SIeDHPXx.net
- シミュレーションでは動くのに実機で動いたり動かなかったりする。
- 292 :774ワット発電中さん:2020/09/26(土) 18:40:19.23 ID:/F9E71nl.net
- 遅延シミュレーションでも掛ければ、スグに正体現すよ
死ぬほど時間掛かるから、余程の事が無いとやらんけどな
大概は、論理シミュレーション & 制約MET -> 実動作で確認、で済ませてる
趣味でやってる人で、制約掛けてるヤツなんて殆ど見たこと無い
その結果が ↑の >シミュレーションでは動くのに実機で動いたり動かなかったりする
そりゃ、そうなって当然だって
- 293 :774ワット発電中さん:2020/09/26(土) 21:30:16.62 ID:aMPCxEFy.net
- 遅延シミュレーションとか90nmの時代までかな。
STA 通れば問題にならないよ。
- 294 :774ワット発電中さん:2020/09/27(日) 07:47:01.92 ID:YDOHU9dy.net
- やっぱりそうだよね
STAあるのにインプリメント後のSimなんて意味が分からんわ
- 295 :774ワット発電中さん:2020/09/27(日) 11:43:35.89 ID:Vex+NJdu.net
- STAってASICの話し?
- 296 :774ワット発電中さん:2020/09/27(日) 14:31:24.64 ID:h/07VqFn.net
- STAはパンクするのではないか
- 297 :774ワット発電中さん:2020/09/27(日) 17:33:06.81 ID:mJ54mVso.net
- FPGAでもSTAはあるな。
ってか、制約付けずに合成するかな?
ピン配置すら決めてなさそう。
- 298 :774ワット発電中さん:2020/09/28(月) 15:23:52.03 ID:ZofM1AV5.net
- 新人の時CLKをCLKでそのまま打ってインプリ毎にグローバルに乗ったり乗らなかったりを繰り返し、最終版で見事グローバルから低温でしかエラーしないタイミングで外れ大規模不具合を引き起こしたぼくが通るぞ
- 299 :774ワット発電中さん:2020/09/28(月) 15:26:06.35 ID:ZofM1AV5.net
- 新人僕「タイミングチェックってHoldもできるんですか?え?Holdって低温時のほうが厳しくなるんですか??」
- 300 :774ワット発電中さん:2020/09/30(水) 20:57:34.72 ID:r8TT/iid.net
- 東芝がシステムLSI事業から撤退、だそうだ。
https://monoist.atmarkit.co.jp/mn/articles/2009/30/news050.html
15〜20年くらい前、東芝・NEC・富士通などに発注して
DRAM混載のシステムLSI(今ではSoCと呼ぶ人のほうが多いのか?)
を度々作ってもらっていたのが懐かしく思い出される。
設計はVerilog。VHDLに出会うことは無かったな。
- 301 :774ワット発電中さん:2020/09/30(水) 21:17:35.01 ID:PJTbUj6P.net
- どうせ切るなら早く切らないとね
- 302 :774ワット発電中さん:2020/09/30(水) 21:18:56.40 ID:ye+f7ILK.net
- 切りすぎて最後に残るのは経営陣とその太鼓持ちだけになる
- 303 :774ワット発電中さん:2020/09/30(水) 21:46:52.33 ID:dh8TWTMG.net
- 入社するときは優秀な人たちが馬鹿になって行く不思議な会社
- 304 :774ワット発電中さん:2020/09/30(水) 22:52:15.26 ID:dT7ATEy0.net
- >>300
DRAM混在はあんまりなかったはず。プロセス違うからね。
VHDLってどこで使われてるんだろう。
米軍向け?
- 305 :774ワット発電中さん:2020/09/30(水) 23:25:46.90 ID:R7ENorU3.net
- 物好きな大学教授が「バグを検出しやすいから」とか言ってVHDL推してたわ
なおその教授の研究は昔から全然進んでない
- 306 :774ワット発電中さん:2020/10/01(木) 07:48:41.32 ID:ASWYfv44.net
- DRAM混在ASICを作ってもらえるユーザーは数社しかない。ほとんどが値段聞いてビビる。
- 307 :774ワット発電中さん:2020/10/01(木) 09:20:57.72 ID:n0hc4P54.net
- 君らVHDLの利点わかってないのか
レベル落ちたなぁ
- 308 :774ワット発電中さん:2020/10/01(木) 10:27:25.04 ID:iLDU4h9d.net
- 利点があったってあまり使われてない言語なんて履いて捨てる程あるからな!
ばるてのーんとかw
- 309 :774ワット発電中さん:2020/10/01(木) 12:14:13.29 ID:x9B4VcDd.net
- 信号処理でverilogなんてバグしか生まないクソ言語つかうない
- 310 :774ワット発電中さん:2020/10/01(木) 13:28:39.40 ID:Okjn6PWg.net
- そういう場合、使えないのは言語でなくてお前のほう。
かと言って、Lint でガチガチにするのはやめてくれ。
- 311 :774ワット発電中さん:2020/10/01(木) 15:10:22.37 ID:x9B4VcDd.net
- >>310
のような使えないFPGA技術者一覧がほしい
- 312 :774ワット発電中さん:2020/10/01(木) 16:45:09.93 ID:7DdZbF3O.net
- >>303
311原発事故の東電の人たち観て思った
- 313 :774ワット発電中さん:2020/10/02(金) 01:28:22.67 ID:6Jgpvsyi.net
- SpyGlass 通せばいいだけじゃん
- 314 :774ワット発電中さん:2020/10/02(金) 13:13:46.95 ID:JHsKCZ+8.net
- SpyGlassなんて高級品は使えません。
RTLは外注に出すのがスタンダードになったからキツイ。小規模なやつだから自分で作ろうとすると無償ツールしか使えない。
- 315 :774ワット発電中さん:2020/10/03(土) 05:06:36.62 ID:CwnxhYG9.net
- いま、16bitの信号処理をverilogで書き出したら、掛け算の結果のビット間違えて、検証にどえらい時間がかかるから、VHDLで書いているんだけど
なんか文句あっか
- 316 :774ワット発電中さん:2020/10/03(土) 06:41:57.97 ID:+M6XMvS7.net
- integer にしとけ。
- 317 :774ワット発電中さん:2020/12/20(日) 23:26:01.44 ID:4RufAi77.net
- iZ-Cのサンプルプログラム書き始めたので見てね。
https://sunasunax.hatenablog.com
- 318 :774ワット発電中さん:2020/12/21(月) 09:00:05.53 ID:SnGUwBsl.net
- FPGA用に合成できる?
- 319 :774ワット発電中さん:2022/07/01(金) 21:25:57.03 ID:JLmDZESx.net
- こんなに待ってもレスがないなんて…
まさか合成できないのか!?
- 320 :774ワット発電中さん:2022/07/03(日) 09:05:09.03 ID:uWIrJGJv.net
- 合成って時間かかるからね。
- 321 :774ワット発電中さん:2022/07/03(日) 12:31:49.02 ID:XLcRZoDP.net
- 一人寂しくロンリー合成
- 322 :774ワット発電中さん:2022/09/15(木) 14:39:59.19 ID:xS5+mJXV.net
- CとかMATLABで設計できる時代には、結局まだなってないのか。
まー、好き勝手な回路作られても困るしな
- 323 :774ワット発電中さん:[ここ壊れてます] .net
- 手作業で合成するんですか?それとも、ユーザーが論理回路書いたら
開発環境みたいなのが論理展開して最小な構成案とか提示してくれるんですか?
- 324 :774ワット発電中さん:2022/09/16(金) 11:48:43.38 ID:6Pi2nRI4.net
- カルノー図を書けば何とかなる。
- 325 :774ワット発電中さん:2022/09/16(金) 12:18:30.08 ID:dO0gy88g.net
- >>323
合成は開発環境がしてくれるんだよ。
でも、開発環境に食わせる回路に、いくつかのレベルがあって、云々。
メジャーなのは、多少の論理回路(あーだったらこーなるみたいな)を同期回路(F F)で叩いて繋げていくやつなのかな。20年以上前からそうだったと思うけど、トランスファーレベルとか言ってたような気がする。もう忘れた。
- 326 :774ワット発電中さん:2022/09/16(金) 12:54:25.48 ID:rofojeSk.net
- 今でもRTL(レジスタトランスファロジック が基本。
それがわからない新人が「エラーが取れないんです〜」って泣きついて来る。
- 327 :774ワット発電中さん:2022/09/16(金) 13:12:35.81 ID:RZbdKLa3.net
- なんかよーわかりませぬが便利そうですのぅ
FPGAを使う予定はないけれど、
その機能目当てで開発環境だけインスコすっかな(^p^;フヒヒ
- 328 :774ワット発電中さん:2022/09/16(金) 16:28:18.77 ID:dO0gy88g.net
- >>327
簡単なキット買ってLチカとかすると案外面白いよ。タイミングとかあんま意識しなくてもいいし。
- 329 :774ワット発電中さん:2022/09/16(金) 16:29:25.49 ID:dO0gy88g.net
- >>326
ありがとうございます。そーか、RTLて言葉すら忘れてました。
- 330 :774ワット発電中さん:[ここ壊れてます] .net
- RTL = Register Transfer Level かな。
職場では慣用的にVerilog-HDLで書いた「論理回路のソースコード」の意味合いで使っているな。
「お前の書いたRTLはいつも見易いな/見難いな」とか。
- 331 :774ワット発電中さん:2022/09/16(金) 18:13:16.39 ID:6Pi2nRI4.net
- テストベンチをRTLって言われるとイラッとするよな。
- 332 :774ワット発電中さん:2022/09/17(土) 06:33:37.41 ID:Xx7itxc5.net
- うちの会社はVHDLだった。中にはシミュレーションだけVerilog-HDLの人もいたけど
- 333 :774ワット発電中さん:2022/09/17(土) 09:42:29.39 ID:J4bNj1zy.net
- テストベンチをRTLで書けって言われたら… 出来ませんだよな。
- 334 :774ワット発電中さん:2022/09/17(土) 12:54:56.87 ID:wFM/WtKx.net
- ゲートディレイを使って発振回路作れば何とか•••
- 335 :774ワット発電中さん:2022/09/17(土) 18:22:11.13 ID:Xx7itxc5.net
- 結局、FPGAは実機で動かしてデバッグしていくのが効率的なのかなぁ。昔はRS232cでFPGA内蔵マイコンと通信してデバッグしてたけど、今も変わらんのかな?
- 336 :774ワット発電中さん:2022/09/17(土) 20:43:26.45 ID:J4bNj1zy.net
- 流石に全部実機は辛かろう。ファンクショナルだけでもシミュレータでやるのがいいと思うが。
- 337 :774ワット発電中さん:2022/09/17(土) 21:16:26.87 ID:UPAbRbpH.net
- 全部シミュレータも面倒だしな。
- 338 :774ワット発電中さん:2022/09/18(日) 11:54:20.30 ID:fpWrlkpV.net
- 今思い出したけど、自分は基本的な動きはテストベンチ作って状態遷移とか確認して、そのあとMATLAB/Simulinkでシミュレーション系を作って、RTLを読み込ませるプロックセットを使って検証していた気がする。なんか、転職したから全部忘れてしもうた。趣味でまた始めたいんだけど、なかなかね。
- 339 :774ワット発電中さん:2022/11/11(金) 23:44:05.99 ID:AZNJ6qQm.net
- てすと
- 340 :774ワット発電中さん:2022/11/17(木) 12:42:41.55 ID:a+plK6vp.net
- タイミング制約がめんどい
つけなくても動いてるけど
ツールは制約付いていないと文句言ってるけど
- 341 :774ワット発電中さん:2022/11/17(木) 12:50:47.14 ID:9q09WokQ.net
- 制約付けたら付けたで文句言われるしな。
- 342 :774ワット発電中さん:2022/11/17(木) 22:44:09.39 ID:a+plK6vp.net
- 入力クロックだけfreq値与えてmetすればおkでつか?とりあえず
- 343 :774ワット発電中さん:2022/11/17(木) 22:46:34.15 ID:a+plK6vp.net
- こんかいのは繋ぐデバイスが遅いから手抜き
以前serdesで500MのADC繋いだときは
ギリシャ
- 344 :774ワット発電中さん:2022/11/30(水) 11:09:22.21 ID:fAyEbzni.net
- こんにちは
VHDL(1993/2008) numeric_stdを使用している状況で
32bitを超えるカウンタを生成するにはどういったアイデアがあるでしょうか?
std_logic_arith、std_logic_unsignedを使用しているときはstd_logic_vectorで良かったかと思います。
メモリアドレスカウンタを作りたいのですがメモリサイズが大きく32bitでおさまらないのです。
よろしくお願いします。
- 345 :774ワット発電中さん:2022/11/30(水) 12:33:41.35 ID:xUuO9dJi.net
- 32bit=4G(ギガ)で収まらないアドレスカウンタとは、
どのくらい大容量のメモリを使う予定なの?
例えば1チップで32GbitのDRAMを使う場合でも
構成が4Gアドレス×8bitだったりするからね。
それにDRAMのアドレスの場合、RowとColumnの2つに分けて入れるから
アドレスカウンタのビット数はもっと小さくて済むよ。
4Gアドレスなら、Row(24bit)×Column(8bit)みたいな感じ。
- 346 :774ワット発電中さん:2022/11/30(水) 12:57:27.31 ID:fAyEbzni.net
- レスありがとうございます
メモリはDIMMで8GB/16GBを想定しています
メモリコントローラはipを使います
実際データ幅は32bit以上なので下位bitを省けば32bitでまかなえると思いますし
カウンタを分離する方法でもいいかとも思っています
ただし、何かアイデアがあればと思いお伺いさせて頂きました
- 347 :774ワット発電中さん:2023/03/12(日) 21:17:10.43 ID:cGxMlPMj.net
- ロケットのアレは電源系からの回り込みノイズも考えられる
今の電源関係は電圧低いからねぇ
ノイズ周りはパチンコ屋が強いんだっけ?
- 348 :774ワット発電中さん:2023/10/14(土) 18:59:50.83 ID:/G9A8sTA.net
- お前、自分の故郷に向かって何てこと言うんだ!
総レス数 348
80 KB
掲示板に戻る
全部
前100
次100
最新50
read.cgi ver 2014.07.20.01.SC 2014/07/20 D ★