2ちゃんねる ■掲示板に戻る■ 全部 1- 最新50    

【Verilog】 記述言語で論理設計Project15 【VHDL】

1 :774ワット発電中さん:2017/04/21(金) 21:48:16.41 ID:KqIjhH63.net
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/

157 :774ワット発電中さん:2018/06/28(木) 11:35:24.34 ID:/Z6Aj/He.net
ぼくがかんがえたFPGA三大参入障壁
・自分がどの実機を買うべきなのかわかりにくい
・開発環境をインストールするまでが長い
・コンパイル時間が長い

158 :774ワット発電中さん:2018/06/28(木) 12:11:54.40 ID:WsYmkx+Z.net
FPGAの開発環境は、クラウドベースにふさわしいと思うんだけど
どのメーカーも始めないね

159 :774ワット発電中さん:2018/06/28(木) 13:04:19.65 ID:5KP8NaWW.net
どんだけサーバーリソース食うか
恐ろしいんじゃね
C/C++の環境とはそれこそ桁が3〜4つくらい違いそうだ

160 :774ワット発電中さん:2018/06/28(木) 13:26:46.54 ID:WsYmkx+Z.net
当然無償とはいかないだろうから時間課金とかで
ハイエンドPCの10分の1くらいの時間で合成・配置配線できたら
需要はありそうなんだけどね

大昔、WebPackでHDL使えるようになる前のほんの一時期
無償で Xilinxがそういうの提供してたような・・・(記憶違いかも)

161 :774ワット発電中さん:2018/06/28(木) 14:22:38.69 ID:5KP8NaWW.net
時代は繰り返すTSSか。w

PC用のHDLベンチとかある?
合成/配置の時間を競うの

162 :774ワット発電中さん:2018/06/28(木) 14:27:58.96 ID:VsWFEnmJ.net
合成は たいした事無い
一番時間費やすのはシュミレーション

163 :774ワット発電中さん:2018/06/28(木) 14:30:27.77 ID:5KP8NaWW.net
うん、時間かかるから細切れでやってる
シミュレーション

164 :774ワット発電中さん:2018/06/28(木) 14:44:26.74 ID:XaHhZDRp.net
>>161
シミュレーションなら
http://www.veripool.com/verilog_sim_benchmarks.html

165 :774ワット発電中さん:2018/06/28(木) 20:55:56.18 ID:Ue66jHRz.net
>>162
合成三日でインプリ失敗とかむかし大規模FPGAでやってたわ。

今民生品は、小さいのしか使わなくてわけわからん

166 :774ワット発電中さん:2018/06/28(木) 21:41:18.51 ID:5KP8NaWW.net
>>165
スワップしまくりか

167 :774ワット発電中さん:2018/06/28(木) 21:56:04.89 ID:Ue66jHRz.net
>>166
DSP48をフルに使って45万円したVertex5を4つ使ってとにかく早く動かす。簡単なお仕事で、使用率90%あたりから、タイミングメットしませーん。ウワーン。それを超えるチップ無いでーす

168 :774ワット発電中さん:2018/06/28(木) 23:13:04.60 ID:qxrhGPux.net
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。

169 :774ワット発電中さん:2018/06/28(木) 23:13:16.10 ID:qxrhGPux.net
確かに90%超えたあたりからやたら時間かかるよね。
Symplify 使うと多少マシになる。

170 :774ワット発電中さん:2018/06/29(金) 11:17:37.38 ID:8w5CZ6Nh.net
配置配線のパラメタチューニングしたりする?
訳もよく判らず弄りすぎで大体元の木阿弥なんだけど

171 :774ワット発電中さん:2018/06/30(土) 01:22:10.53 ID:ubj+ZUwj.net
チューニングのやり方がわからない(ため息)
Lチカぐらい簡単なやつは10秒でコンパイルできればいいんだけど、最適化を完全オフにするパラメータってあるの?

172 :774ワット発電中さん:2018/06/30(土) 21:09:03.10 ID:Sfoi0kwX.net
(回路合成だけでなく)配置配線のツールもサードパーティが開発できればいいのにぃ

173 :774ワット発電中さん:2018/06/30(土) 21:41:17.06 ID:nqUix+/d.net
>>172
開発すればいいんじゃね?
ダメってことはないだろ。

174 :774ワット発電中さん:2018/06/30(土) 22:07:31.38 ID:Sfoi0kwX.net
>>173
ちょっとやってみたいけど、NGDやNCDのフォーマットに関する公開資料なくね?

175 :774ワット発電中さん:2018/06/30(土) 23:10:21.30 ID:ZOEfo4cc.net
>>174
 http://www.clifford.at/icestorm/
 Project IceStorm aims at reverse engineering and documenting the bitstream format of Lattice iCE40 FPGAs

 Why the Lattice iCE40?
 It has a very minimalistic architecture with a very regular structure.

さぁやってくれ。

176 :774ワット発電中さん:2018/07/01(日) 04:50:58.19 ID:dOFnAt44.net
>>175
やっぱりリバースエンジニアリングになるのか(無茶言わんでくれ)

でもLatticeはノーマークだったから教えてくれてありがとう

177 :774ワット発電中さん:2018/07/01(日) 08:44:29.19 ID:21VsAuPz.net
正式に開発したいなら教えてくれるかもよ。
NDA いるだろうけど。

178 :774ワット発電中さん:2018/07/02(月) 10:31:30.98 ID:MCXdyEkQ.net
FPGAエディタをスクリプト経由で動かすとか
無理か

179 :774ワット発電中さん:2018/07/03(火) 21:54:50.62 ID:TUeFXinq.net
PVA

180 :774ワット発電中さん:2018/07/11(水) 21:47:39.62 ID:8xMwsRDE.net
パーソナル・バケーション・アシスタント?(PVA)

181 :774ワット発電中さん:2018/07/12(木) 10:06:12.69 ID:05jJdtnB.net
ポリビニールアルコール

182 :774ワット発電中さん:2018/07/15(日) 10:18:17.63 ID:5+0mEq6F.net
>>75
>FPGAの回路設計とASICの回路設計は似て非なるもの
ほう、そのこころは?

183 :774ワット発電中さん:2018/07/15(日) 11:22:47.11 ID:vemc6UVS.net
わからんけど
FPGAはセル単位になってしまうとか?

184 :774ワット発電中さん:2018/07/15(日) 11:34:53.49 ID:vNqsj68u.net
FPGA だとデュアルポートRAMや演算器を優先的に使う設計するけど
ASIC だとシングルポートRAM、演算は出来るだけ単純化とかかな。
ASIC はプロセスにもよるけど。
それ以上に検証が違うけど。

185 :774ワット発電中さん:2018/07/16(月) 08:35:43.62 ID:Wtf6edTJ.net
ASICはテストパターンの労力で100倍違う

186 :774ワット発電中さん:2018/07/16(月) 10:46:20.61 ID:yJ/wSyff.net
10年以上前、フルスキャンで故障検出率100%にしたらテスト部門が喜んでた。
最近のテストはどうなってるのだろう?

187 :774ワット発電中さん:2018/07/16(月) 11:24:20.42 ID:3UJr0rsO.net
バックエンドは良く知らんけど、最近はゲートシミュレーションはやらないな。
故障検出はスキャンパス張るんだろう。

188 :774ワット発電中さん:2018/07/16(月) 13:22:40.06 ID:yJ/wSyff.net
RTLとネットリストはFormalityでチェック
自動レイアウトはAstroでタイミングドリブンレイアウト
10年前と大きく変わってないのかな。

189 :774ワット発電中さん:2018/07/16(月) 13:24:27.45 ID:wSop7A4q.net
おいしいところだけ食い散らかして逃げる強姦野郎はどこにもいる.。

190 :774ワット発電中さん:2018/07/16(月) 14:37:36.95 ID:3UJr0rsO.net
設計は Verilog で RTL 記述だから 20年前から変わってないぜ!

191 :774ワット発電中さん:2018/07/16(月) 14:39:14.67 ID:tiCVefT4.net
>>189
>強姦野郎

例えが謎

192 :774ワット発電中さん:2018/07/16(月) 15:36:29.12 ID:wSop7A4q.net
ビジネス用語: 無理やり種だけ入れてちゃんと育てずとんずら

193 :774ワット発電中さん:2018/07/16(月) 15:49:38.35 ID:tiCVefT4.net
なるほど、下品な例えだということはわかった

194 :774ワット発電中さん:2018/07/17(火) 04:42:03.49 ID:cTyf8I5G.net
>>192
それやったわー
ASIC一人設計して、派遣やったんだけど年収430→440で辞めてきたー
そのあとは知らない。設計書は残してきた

195 :774ワット発電中さん:2018/07/17(火) 07:28:05.71 ID:7EqePAf9.net
千摺野郎もいる。
HDLのインデントが気に食わないので修正アップデートする奴。

196 :774ワット発電中さん:2018/07/19(木) 16:47:49.09 ID:nh5u8iIC.net
順列発生カウンタとか組合せ発生カウンタとかないね。

197 :774ワット発電中さん:2019/01/02(水) 20:56:55.49 ID:4FE0iZpC.net
最近Verilog勉強し始めたんだけど
論理回路を意識したほうが良いのだろうか
レジスタ記述はDFFを組み合わせてるところがプログラム的に理解できるけど
カウンタの記述とかはもうなんか論理回路が関係なく
マイコンのプログラムとあまり変わらない感じがする

198 :774ワット発電中さん:2019/01/03(木) 11:28:30.37 ID:1yOngvvI.net
そう見えてるうちはまだ分かってないって事だ

199 :774ワット発電中さん:2019/01/29(火) 00:40:42.08 ID:T9JVzTtV.net
インテルかXilinxか
それが問題だ

200 :774ワット発電中さん:2019/01/29(火) 03:53:26.19 ID:Z2u9OB2K.net
wniの鈴木里奈の脇くっさ
      (6 lゝ、●.ノ ヽ、●_ノ |!/
         |     ,.'  i、     |}
       ',     ,`ー'゙、_    l
       \ 、'、v三ツ   /
        |\ ´  ` , イト、
       /ハ ` `二 二´ ´ / |:::ヽ
     /::::/ ',   : . . :  /  |:::::::ハヽ
https://twitter.com/ibuki_air
(deleted an unsolicited ad)

201 :774ワット発電中さん:2019/02/04(月) 09:45:38.85 ID:qh3PYBZy.net
>>197
カウンタもDFFの組み合わせには見えないの?

202 :774ワット発電中さん:2019/02/05(火) 03:11:29.97 ID:UE5XxRte.net
>>197
Verilog カウンタ で思い出したけど、
a++;
のような書き方って、できるようになったのかな? 以前は、
a << a + 4'd1;
と書かないといけなかったんだが。

203 :774ワット発電中さん:2019/02/05(火) 07:32:47.55 ID:FtGyQ+fQ.net
>>202
systemverilogでできる。
あとそれはシフトだ。他の言語か?

204 :774ワット発電中さん:2019/03/17(日) 20:05:03.44 ID:HrvmmVbu.net
ここが適当かわからないんですが、アサーションで、Aという信号がHのとき、Bクロック信号が、発振してることを確認したいです。周波数は不明とした場合、確認できる方法ありますか?

205 :774ワット発電中さん:2019/03/24(日) 23:11:22.82 ID:PFDyOjPv.net
>>204
BのエッジでAをFFに取り込むってのは?
一定時間変化しない場合リセット

でも周波数が不明ってどういう状況よ

206 :774ワット発電中さん:2019/03/25(月) 06:22:05.79 ID:N77oml4f.net
>>205
PLLの設定をするHDLの挙動がおかしくて
意図した通りに設定できてるか確信が持てないということでは?

207 :774ワット発電中さん:2019/03/25(月) 08:01:13.77 ID:In9C8NNB.net
発振してるなら電圧が 50% になってるやろ。

208 :774ワット発電中さん:2019/03/25(月) 08:20:59.22 ID:9b/LVpTG.net
デューティ比によろやろ…

209 :774ワット発電中さん:2019/03/26(火) 21:48:14.34 ID:FtnUFOrJ.net
クロックが正常発振してることより、
そのクロックがつながってるPLLがロックしてることで調べることはできないの?

210 :774ワット発電中さん:2019/03/26(火) 21:52:52.94 ID:FtnUFOrJ.net
>>197
プログラムさえできれば十分
MatlabがHDLコード吐いてくれる
状態遷移図さえ書けばHDLコード吐いてくれるツールもある
日本でHDLコード書いて給料もらえる会社て
ルネぐらいしか残ってなかったりして

211 :774ワット発電中さん:2019/03/29(金) 06:34:21.57 ID:mmZzcG4n.net
>>210
MATLABのコードジェネレータ使いものになるようになったの?

212 :774ワット発電中さん:2019/03/29(金) 06:34:53.89 ID:mmZzcG4n.net
>>210
あの会社書けない

213 :774ワット発電中さん:2019/03/29(金) 07:47:14.23 ID:K3zucI53.net
>>202
ブロッキングだからカウンタとかには使わない方が安全

214 :774ワット発電中さん:2019/04/05(金) 23:08:41.55 ID:YInVUuqy.net
>>202
a <- a + 4'd1;

215 :774ワット発電中さん:2019/04/06(土) 11:02:03.35 ID:dX23tMPq.net
a <= ++a;
なら大丈夫だけど、だったら
a <= a + 1’b1;
で良いだろう

216 :774ワット発電中さん:2019/06/09(日) 22:10:21.52 ID:SL7XSlL5.net
>>210
ソシオネクストへドゾー

217 :774ワット発電中さん:2019/06/20(木) 19:57:45.71 ID:GUG6mT4k.net
>>216
今、AMBA AHBで設計もしくは検証作業されてますか?

218 :774ワット発電中さん:2019/06/20(木) 23:43:31.23 ID:0fKjwKHJ.net
いいえ AXI です。

219 :774ワット発電中さん:2019/08/06(火) 06:43:51.13 ID:n14PzaoE.net
&#8234;この会社。出禁レベルで関わらないこと。&#8236;
&#8234;株式会社クイック https://919.jp/&#8236;

220 :774ワット発電中さん:2019/08/11(日) 22:54:59.37 ID:uvgebIoU.net
592 名前:名無しさん [sage] :2019/08/11(日) 07:18:32.40 ID:k64D6ck10
ななしっくす土屋 会話集

ルネサス退職者スレ
https://mao.2ch.net/test/read.cgi/haken/1517763997/

221 :774ワット発電中さん:2019/08/12(月) 19:25:53.24 ID:n1fA+4aw.net
ARM内蔵のSoCFPGAを軽く使ってみたけど、
メリットを享受するには、ライセンス料払わなあかん。
そうでないならio操作にmmap多用する事になり、いちいち遅い。
これなら素直にNios2使った方が良いのでは?と疑問。

222 :774ワット発電中さん:2019/08/12(月) 20:07:13.96 ID:L6YIiAC1.net
一旦mmapしたら、後は単純 Read/Write だけだろ
主張してる事の意味がわからん

ライセンスうんぬんも、具体的に何を指してるか不明
有料IPの事を指してるのならそれは当然

ARM-SOC が余りにも安過ぎて、"ARM内蔵FPGA" に殆どメリットを見出せない
これが個人的な感想だな

223 :774ワット発電中さん:2019/08/12(月) 22:18:22.27 ID:tMehRPm7.net
ライセンス料?

224 :774ワット発電中さん:2019/08/13(火) 08:26:34.13 ID:HUMCNrTF.net
そうなのか。俺が悪かった。

225 :774ワット発電中さん:2019/08/15(木) 15:44:15.54 ID:pV/rv6F9.net
株式会社クイック 経由でFPGAの転職を探してはならない。

226 :774ワット発電中さん:2019/09/04(水) 01:39:59.67 ID:z8kJl5Ct.net
なぜでしょうか

227 :774ワット発電中さん:2019/09/04(水) 19:30:41.62 ID:cgKMtBSI.net
技術力わからんから

228 :774ワット発電中さん:2019/09/05(木) 02:42:40.61 ID:HHk3R5Af.net
どこ経由がオススメでしょうか

229 :774ワット発電中さん:2019/09/05(木) 20:24:45.30 ID:xqDGix66.net
>>228
どこも技術力落ちているからミリ

230 :774ワット発電中さん:2019/09/09(月) 07:55:42.02 ID:cA9O0egb.net
Niosの周波数によるけど、
Nios100MHzで動かしたものと比べて、
Arm側からのmmapでのアクセスが遅いなんてことは全くないが。

231 :774ワット発電中さん:2019/09/12(木) 20:56:46.77 ID:qr9N38Lr.net
>>128
ハード的にプログラムしてしまえば、命令のフェッチが不要になるから、高速化出来る。

232 :774ワット発電中さん:2019/10/06(日) 11:15:36.16 ID:dxym0Gow.net
速くなるのはそこじゃない。パイプライン使えばフェッチ中、別なステージを実行できる
ループを回路展開して1クロックで実行できるようにするとかだな。

233 :774ワット発電中さん:2019/10/11(金) 09:42:14.51 ID:nhTX4VfJ.net
自作の論理合成、最適化をやっていると驚くべき良い結果が出てくることがある。
きっとこれが定理とか法則の発見だろう。

234 :774ワット発電中さん:2019/10/11(金) 10:11:55.27 ID:4Y32/1AH.net
違うと思う

235 :774ワット発電中さん:2019/10/11(金) 23:39:21.72 ID:aG0iLRs1.net
>>234
そういう理性的なレスやめようよ。

236 :774ワット発電中さん:2019/10/12(土) 10:38:05.12 ID:RzKdKSH+.net
次の方 感情的にどうぞ

237 :774ワット発電中さん:2019/10/12(土) 12:26:51.98 ID:khNB4vNr.net
>>233
独りでさびしく Lonely(ロンリー)合成、かな?

238 :774ワット発電中さん:2019/10/21(月) 23:05:29 ID:dnB1jmr8.net
シミュレーションでは仕様通りに動いて、
論理合成が行われない回路を書く新人君が
「奇術言語はそろそろ卒業しような」と説教されていた

239 :774ワット発電中さん:2019/10/24(木) 11:26:45 ID:BRtbPalh.net
無能な上司だ。

240 :774ワット発電中さん:2019/10/24(木) 21:07:26.08 ID:VkbRp6QV.net
会社で新人を苛め抜くお前たちを見た。プロジェクトは解散だな。会社もかも

241 :774ワット発電中さん:2019/10/26(土) 10:17:35.50 ID:GwGSGksh.net
>>240
既視感があったけど思い出した。「猫の事務所」だ

242 :774ワット発電中さん:2019/11/16(土) 13:05:46.77 ID:9Ewau4oB.net
シミュレーションで仕様通りに動くんだから、
HLSでやれる仕事アサインするのが正しい仕事の割り振り方
新人だろ?たいしたもんじゃねーか。
俺が入社したときは、今頃、京橋のxx学園に全員集められて最後の集合研修やってたぞ。

243 :774ワット発電中さん:2019/12/19(木) 20:21:58.06 ID:hnxpTC/s.net
vhdlのsignalで値を定義しないで使った場合、論理合成後にどう扱われるか決まりってある?コンパイラによる?

244 :774ワット発電中さん:2019/12/20(金) 09:23:02.95 ID:z1QckISy.net
>>243
「値を定義しない」の意味は?

245 :774ワット発電中さん:2019/12/20(金) 18:20:38.12 ID:T+tY9xlp.net
多分、宣言したけど初期値も代入もしていないsignalを参照したときの値だと思う
0として扱われるはず
合成時に警告が出る

246 :774ワット発電中さん:2020/01/03(金) 00:33:56.15 ID:ur/EQKxz.net
signalの宣言で初期値のかわりにport名を定義したときはどうなりますか。
以前試したときはportのエイリアスになったが、ググってもそれが定義された動作なのか未定義でツール依存なのか判断つかなかった。

247 :774ワット発電中さん:2020/01/03(金) 03:53:34.35 ID:rqJ5ABYq.net
>>246
仕様はしらんけどエイリアスだろ
名前なんて飾りだよ

248 :774ワット発電中さん:2020/01/03(金) 08:38:07.96 ID:BwPd1Tus.net
>>246
IEEE1076 なんだから買って読め。

249 :774ワット発電中さん:2020/01/11(土) 16:17:34.08 ID:j8wuoBDS.net
シミュレーションでは仕様通りに動くんなら、
当面テストベンチ作成要員にしとけばええがな
そのうち論理合成可能なHDL書けるようになるわ。

250 :774ワット発電中さん:2020/02/03(月) 18:21:04 ID:5kdyJ5pE.net
何が言いたいのかさっぱり分からんがすごい自信だ。

251 :774ワット発電中さん:2020/02/06(木) 16:32:47 ID:voYgKVfD.net
すなわち論理合成可能なHDL書くにはおれのような非凡な才能が必要で
おまえらがいくら修行しても無理だと言いたいのだ。

252 :774ワット発電中さん:2020/02/12(水) 17:05:03 ID:hb+gqpHy.net
COBOLスレの雰囲気だな、ここは。

253 :774ワット発電中さん:2020/03/12(木) 22:34:07 ID:fNF3JFWk.net
Common Business Oriented Languageの略らしいな(初めて知った)

254 :774ワット発電中さん:2020/06/09(火) 15:57:38 ID:yFlSchCo.net
2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)

今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?

極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。

255 :774ワット発電中さん:2020/06/09(火) 16:35:35.58 ID:/ygxY46j.net
>>254
簡単な方法はない。
来る信号をBCDにしろ。

256 :774ワット発電中さん:2020/06/10(水) 09:12:42.72 ID:KfgmSXBS.net
>>255
(´・ω・`)無いんですね・・・

257 :774ワット発電中さん:2020/06/10(水) 11:34:53.81 ID:5NH3QB02.net
100で割ってから100倍するのは明らかに無駄
10で割りながら下の桁から処理するのが定石

81 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver.24052200