2ちゃんねる ■掲示板に戻る■ 全部 1- 最新50    

【Verilog】 記述言語で論理設計Project15 【VHDL】

1 :774ワット発電中さん:2017/04/21(金) 21:48:16.41 ID:KqIjhH63.net
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.net/test/read.cgi/denki/1470611688/

2 :774ワット発電中さん:2017/04/21(金) 21:48:36.06 ID:KqIjhH63.net
・FPGA
 Xilinx ttp://japan.xilinx.com/
 ALTERA ttp://www.altera.co.jp/
 Lattice ttp://www.latticesemi.co.jp/
 Actel  ttp://www.actel.com/intl/japan/
 QuickLogic ttp://www.quicklogic.com/

・ASICベンダ推奨ツール類 (高価)
 Synopsys ttp://www.synopsys.co.jp/
 Cadence ttp://www.cadence.co.jp/
 Mentor ttp://www.mentorg.co.jp/
 Synplicity ttp://www.synplicity.jp/

・Verilogシミュレーター (無料)
 Icarus Verilog
  ttp://iverilog.icarus.com/
  ttp://ryusai-hp.web.infoseek.co.jp/icarus.htm (解説)
  ttp://www.ice.gunma-ct.ac.jp/~kimsyn/verilog/FreeSim/iverilog/iverilog.html (解説)
 IVI
  ttp://ivi.sourceforge.net/
  ttp://www.kumikomi.net/archives/2005/06/10icarus.php (解説)

・VCDフォーマットの波形表示 (無料)
 GTKWave
  ttp://gtkwave.sourceforge.net/
  ttp://ryusai-hp.web.infoseek.co.jp/gtkwave.htm (解説)

・関連書籍
 STARC ttp://www.starc.jp/
 CQ出版 ttp://www.cqpub.co.jp/
 Interface ttp://interface.cqpub.co.jp/
 Design Wave Magazine (休刊) ttp://www.cqpub.co.jp/dwm/
 ディジタル・デザイン・テクノロジ (旧DWM) ttp://digital-design.cqpub.co.jp/

・解説サイト
 やるおと学ぶ Verilog-HDL ttp://hirokinakaharaoboe.net/yaruo_verilog/

・関連スレ
 【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #24
      http://rio2016.2ch.net/test/read.cgi/denki/1475205631/
 【EP3】DE0で始めるVerilog HDL【C16】
      http://rio2016.2ch.net/test/read.cgi/denki/1310362001/

3 :774ワット発電中さん:2017/04/22(土) 07:13:33.38 ID:SVcPEvzj.net
)())()))))(()((()(((()))((()()))()))))()(((()))(()((()()()())((((()()())(())
))()())(()()(((())(()((((()))((((()(())((()))(((((()()))))(())())))()))())))
((()()()()((()())(((())())(()(()))())()(())))))()(((()()())((())))))(((())()
)(((()))(((()())()(()()(()(())(()()()()()())(()))(())()))()()))())()))(()(((
(())())))((()())())(()))((())()(((((())()()()((()))((()))(()))()()((()))))((
)))((()))()(())((())))((((((()())((())()(()()))())())))()()((())()())(())(((
)(())()(((()()())(((()())))((()((()))()())()())())(()))(()()))))()()((()()((
()()((((()(((()(()))()))()())))())(()()(()((()))(())()()((((())()))))(()))()
)())())))(())(())))(((()(((()((())()(()))(())))(((())((()()))()))(((()))(()(
)())((((()(())(()((()))))())))()))()())()(((()(((())(()))((()()(())()())(())
)()((()(()())()))())((()())))))())()((((()())))()(()(((()())(())())(())(()((
))(())(()((())))(())(()(())((((((((())()()))(())))())((())(()()()())(())()))
()(((((())()())(((((())())((((())(()(())(())((()()))))())))(()()())())()))))
())()(()))))(())))((()(((((()(((())())()))())()((()(())))()(()()(((()))()())
))(((()))(()())(((()((())))((())()((())(()))(((())))))(()())()))(()()()(()()
)())))(())((())((()((((())(())(((())))(())))((()()()()()(()())(())()()(())))
)()()())(((((()))()(())))()))())))()()()))(()(())(())((((((()))(()()((())()(
(((())((()))()))())())()))()())))()(()))())(())))(((((()())(((())(()(()()(((
())))())(())((())((())())()))(()()(()))()())(()(()(())()((())(())())())(((((
))))))((()))(((((((()))))()())())()()(()((()())()))))()(()((())(((((())))(((
)())))))())()))(()())))()(()))()(((((((()((((())()(())(()(()(()))())()(())((
(((()((()(())(()()())((())))(())())))()()()((((())()()()()))(()))())((()()))
())()())(((()(((()())()(())()))(())(()(((())()()())(()((()))())())(())()()))
)(()((()())()(()()(()())()((())())()))((()()())((())((()(())(()(())()())))))
())))((()))(()()())()(()((()(())()()())(())()(((())))(()))((()()((()))())(()
)()))(((()))))))(()((()))((()))()(()()(()(()())(((((()(()(())))()())(((())))
)())((()(()(())))(())()()(()(((()))()((()(((()((()(()))(()))))))))((())())()
))(()()()()(())())))))())())()(()))()((()))(()())(()(()((())((()(()((()(())(
))())((((((())))(((()()()(()))))((((())())(()()))(((()))(()(((())))))(()))()
)()((()()((()()))()(()()()))())((())(())())())(((())((())(()()))(()(()))(())
())(()((()))))()))())()))()(((((()(()((()))()()((()))())(()()((()))()))()(((
)))()(()()((()(()()((()(())()(((())()(()((()))))((()()()((())()())())))())))
))())()()))(())((())()((()()(((())(())()))(()()))()((())(()(())()()(()(()())
)()()))()(())(()))()))(())()())()())(()(())(((((()(()()())(()))(())()((())((
()))(())()()())())())()(()()))(()())))(()(()(())(((()()())())(())(())(()((((
)((())))(()))())(()))))((())(()(()((()()(()()))(((()()))()())()())(()))(((((
((()()))())()))((()((()()((()()))()))())(()))((()))))((((((())))((((()()))()
()()()(())())))()()))())((((()))()()))(()))))()((((()())()((((())()((())()((
))((())(())(())))))((())))()())()(()))()(()()))(()(())(((()())()(((()(())(((
(())()()()((()))))(())()(((()))((()))()())()))()(()(((()())(())((()))())()((
)((((()))(()))))()))))())())))((((()())())))))(())((((((((((())(()))((()(()(
()))))()(()(()(((())())((())((((())(((()()())())()())()))))))()()((())()()((
))((()(()())()))(()()((()(()())))(())())))()))((()(())(()((()()))(())(())(((
())))())())()(()(()(()(())(()(())))((()(()()(())(()(())((())()()()(()()))())
)()(((()()()((()())(()())()(()(()((((())))(()(()))())(())()))()))()))())((()
(()))(()))())()((()()())(()(((()()(()((()))(())())())(()()()))(()))()(())()(
)))())(())())((()))()((())))())))(()(()))(()()()(())(((()((()(()))(())()((((
))()(())(((())))()(((()())))))())))(()(((()(()())))())((((()()((()))))((()((
()((()()))(())())(())())(())())((()()(()(())((()())()(()(((())(())()))())())
))))()()()((())())((((((())))()(((()))((()(((()))()(())))()(()()(())())))(()

4 :774ワット発電中さん:2017/04/22(土) 11:15:54.15 ID:wIfri9QN.net
こんにちは、乙

5 :774ワット発電中さん:2017/04/22(土) 11:23:33.38 ID:kkP4qGKz.net
>>3
てよく見るけどなんなの?

6 :arisa ◆QaHT6HayjI :2017/04/22(土) 11:32:59.43 ID:WnmS8HfM.net
30umのLSI設計はそろそろ飽きたので、28ナノチンコのFPGAに再度挑戦したい

7 :arisa ◆QaHT6HayjI :2017/04/22(土) 11:34:19.40 ID:WnmS8HfM.net
>>5
新しいハードウェア記述言語。わかりやすく言うとHDLだぉ

8 :774ワット発電中さん:2017/04/22(土) 12:05:18.29 ID:kkP4qGKz.net
(((((((((((((())(())))))(())((((((((((((((
(((((((((((((())(())))))(())((((((((((((((
(())))))))))(())))))(())(())(())))))))))((
(())))))))))(())))))(())(())(())))))))))((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())))))))))(())))(((())))))(())))))))))((
(())))))))))(())))(((())))))(())))))))))((
(((((((((((((())(())(())(())((((((((((((((
(((((((((((((())(())(())(())((((((((((((((
))))))))))))))))(())))))))))))))))))))))))
))))))))))))))))(())))))))))))))))))))))))
(((())(((())(())))))(((())))(())))))))))((
(((())(((())(())))))(((())))(())))))))))((
))))))(((())))(((())))))(((())))(((((())))
))))))(((())))(((())))))(((())))(((((())))
))(((())(((((())))))(((((((((((())))((((((
))(((())(((((())))))(((((((((((())))((((((
))))))(())))))(())(((((((())))(())))(())((
))))))(())))))(())(((((((())))(())))(())((
(())))(((((((((((((((())))(((())(())))))((
(())))(((((((((((((((())))(((())(())))))((
))))))))))))))))(((((())(((())))(())(())((
))))))))))))))))(((((())(((())))(())(())((
(((((((((((((())))(())(((((((())(())))))))
(((((((((((((())))(())(((((((())(())))))))
(())))))))))(())))(())))(((((((())((((((((
(())))))))))(())))(())))(((((((())((((((((
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())))(((())(((())))(())((((((
(())(((((())(())))(((())(((())))(())((((((
(())))))))))(())(((())))(())(())))((((((((
(())))))))))(())(((())))(())(())))((((((((
(((((((((((((())(())(())))(())))))))))))))
(((((((((((((())(())(())))(())))))))))))))

9 :774ワット発電中さん:2017/04/22(土) 20:17:23.26 ID:rQ/UpuOJ.net
V3SっていうVisualStudioのアドインでコーディングしてみようと思うんだけど、使ったことある人いる?

10 :774ワット発電中さん:2017/04/27(木) 18:53:07.21 ID:lQFNtC0S.net
誰も居ませんよ?

11 :774ワット発電中さん:2017/04/27(木) 20:12:07.56 ID:fIRsTJH5.net
年度末で切られたんじゃない。

12 :774ワット発電中さん:2017/04/29(土) 00:00:51.13 ID:1OX4LBX+.net
泣いて派遣を斬る。

13 :774ワット発電中さん:2017/04/29(土) 11:23:33.78 ID:J0ObsWSv.net
派遣を斬る快感はやめられねえ。

14 :おなかすいたな :2017/05/05(金) 05:51:45.36 ID:MF3AbpnJ.net
MAX10 4004 の高くて分厚い本が、半導体屋のプロがFPGAを書いた本として読むと非常に良く出来てる。
FPGA 中級者ー上級者 からステップアップしたい人にはオヌヌメ

15 :774ワット発電中さん:2017/05/05(金) 09:08:28.46 ID:/zYr3X/5.net
>>14
2相クロックだし、多分非同期設計だからFPGAへの実装は面倒くさそう。

16 :おなかすいたな :2017/05/05(金) 18:45:46.93 ID:vSG+uUgh.net
>>15
単層クロックで、φ1とφ2の4通りをenable扱いにして動くように作り替えればいいだけ。

17 :774ワット発電中さん:2017/05/27(土) 18:00:11.13 ID:wPQiVg0X.net
>>8
ほかのスレでも時々見るけど、これって2次元バーコードか何かなの?

18 :774ワット発電中さん:2017/05/27(土) 18:09:21.11 ID:wPQiVg0X.net
>>475
「上市」は一般の辞書には収載されていないが、「じょうし」と読み、
「新製品を市場に投入すること。新製品を売り出すこと」を意味する。
英語で表現すれば「launch」。医薬品業界、自動車業界などを担当するアナリストが多用する言葉だが、
医薬品業界では「承認された新薬を市販すること」の意で使用される。

ということで、いわゆる業界用語(造語)の類なので
知っているからどうこう言う言葉でもないようだ。
中国語とはたまたま同じような意味合いになっただけみたい。

19 :774ワット発電中さん:2017/05/27(土) 18:10:05.28 ID:wPQiVg0X.net
>>18
ごめん、誤爆した

20 :774ワット発電中さん:2017/05/27(土) 20:57:06.04 ID:im60DGFo.net
>>17
暗号(のつもり)かも

21 :774ワット発電中さん:2017/07/02(日) 03:20:28.80 ID:CX2S1E87.net
久しぶりにVerilog1ソースの改造をしました。
モジュール接続を変えるだけなので簡単に思っていましたが、とても手間取り、未だにやっています。
なんでこんなに分かりにくいのか考えてみると、電気回路図のように上下左右に伸びて記述すべきものを、
上から下への1次元で表現するからだと気が付きました。

top階層はブロック図で書いて、ブロックの内部をVerilogモジュールで記述するほうが
分かりやすいと思いますが、みなさん そんなことは内でしょうか?

22 :774ワット発電中さん:2017/07/02(日) 17:23:12.80 ID:MY1hSEPk.net
最上位層をスケマティックで書いて、中身をVerilogで・・・ということなら、
PSoCなんかでは昔から普通にやってる。

23 :774ワット発電中さん:2017/07/02(日) 20:49:39.59 ID:qO9F0VjN.net
ASICなのかな?
FPGAもトップはIPコアを配置してブロック図で配線する。HDLは自動生成されるから楽チンだよ。

24 :774ワット発電中さん:2017/07/02(日) 21:41:09.61 ID:V7hjskES.net
トップってピン(I/O)に実際に繋がる層ってこと?

25 :774ワット発電中さん:2017/07/03(月) 10:09:08.04 ID:suXtUoV9.net
3階層くらいまではブロック図で書いてその下がVerilogだな。でも追加とか出ると
Verilogでやってブロック図にフィードバックができなくなって、うやむやで終わり。
結局最後に信頼できるのはHDLだけだな。

26 :774ワット発電中さん:2017/07/03(月) 10:10:44.11 ID:suXtUoV9.net
>22
PSOCってそんなに沢山ゲートがないだろ。

27 :774ワット発電中さん:2017/07/03(月) 17:41:11.19 ID:8fvkKAj6.net
>>26
見通しを良くするためだから、規模には関係ないっしょ。

28 :774ワット発電中さん:2017/07/03(月) 19:58:35.59 ID:go14PhvY.net
>>24について私も気になるのですが、どなたかご回答いただけないでしょうか?
>トップという言葉の意味

29 :774ワット発電中さん:2017/07/03(月) 20:09:17.43 ID:cavS7gbU.net
>>24
そう。
>>28
ザイリンクスの場合のトップはこんな感じ。
http://i.imgur.com/xBE4F0O.jpg

青い箱はIPコア。中身はHDL。

30 :774ワット発電中さん:2017/07/03(月) 20:50:01.70 ID:go14PhvY.net
>>29
早速のご回答どうもありがとうございます。
また例まで提示いただきイメージがつかめました。
ありがとうございます。

31 :774ワット発電中さん:2017/07/11(火) 04:16:25.34 ID:faEb5wOK.net
Spartan6までしか使わないんですが、VivadoでSpartan6はできますか?
上に書かれたブロック図を見たら、ISEからVivadoに乗り換えてもいいな、と思えてきました

32 :774ワット発電中さん:2017/07/11(火) 06:14:42.51 ID:gbQrzIlI.net
>>31
6はVivadoだけよ
ISEはもう新製品をサポートしてない

33 :774ワット発電中さん:2017/07/11(火) 06:52:02.46 ID:o2DjNazY.net
>>32
しばらくXilinxから離れているのだけど、Spartan6はISEではないの?

34 :774ワット発電中さん:2017/07/11(火) 08:44:34.09 ID:W9enRDJj.net
6まではISE
7以降がVivado

35 :774ワット発電中さん:2017/07/12(水) 01:13:29.58 ID:C39avP5Y.net
びばどぅ

36 :774ワット発電中さん:2017/07/12(水) 06:10:51.99 ID:xrClexpS.net
>>33
ごめんなさい普通にレス間違えてました
他の方が言ってるように、6はISE、7以降はVivadoです
Vivadoだと6はサポートしてないです

37 :774ワット発電中さん:2017/07/12(水) 17:06:36.17 ID:wlKbi3Dl.net
ヴィヴァドゥ
つーかなんで平仮名の「う」の点々はないの?

38 :774ワット発電中さん:2017/07/13(木) 03:49:36.90 ID:ebjoa3nQ.net
>>37
そんなことより、Spartan6がVivadoで出来ナインだってね。

39 :774ワット発電中さん:2017/07/21(金) 04:17:17.49 ID:6mKHYWMT.net
そう、できないんだ。
なんでだろう。
もうSpartnシリーズはやめなのかな。
好きなんだけど

40 :774ワット発電中さん:2017/07/21(金) 06:47:27.05 ID:UbRmqVOX.net
S6はコストが安いから、多少の需要はあって案件もある
でも実際にはVivadoの方が案件が多いので全部Vivadoに移行して欲しいのが正直なところ
元請けより

41 :774ワット発電中さん:2017/07/21(金) 07:15:48.17 ID:fzzHpsaW.net
古いデバイスはISEでしかサポートしない。
ISEについてはもう更新しないと言ってる。OSがどんどん変わっていっても更新しないつもりなんかな。
手を施さずに放置して、Spartan6(を含む)以前のデバイスの自然死を待つみたいな感じだ。

42 :774ワット発電中さん:2017/07/21(金) 13:18:06.71 ID:4heuaL7h.net
新しいOSに対応しないのは、あんまり大した問題じゃないと思うよ
VMwareとかVirtualBox使ってもいいし、XPとか7入れたPC置いとけばいい
もっとも、ISE14.7はWindows10でも動いてるけど

43 :774ワット発電中さん:2017/07/21(金) 22:24:11.51 ID:fzzHpsaW.net
ちょっと前までは、ISEが正式に対応OSとしていない新しいOSで、使おうとして叩かれる人もいたのに。
もう7も入手できなくなるし、10にこの前のCreators Updateみたいな大きいアップデートが定期的に入るようになったら、やがて使えなくなりそう。
パソコン体験も含めて新しくこの世界に入ってくる人がXilinxの旧デバイスを使えなくなるのもそうそう先ではなかろうね。

44 :774ワット発電中さん:2017/07/22(土) 03:02:09.35 ID:tAdg9egc.net
>>43
将来のことは別にして、今のところWindows10でISE14.7動いてるよね?
そして「新しくこの世界に入ってくる人」が「旧デバイスを使える」って
ことが、そんなに重要なことなのかは疑問だな

あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど

どうしても旧デバイス使うために、古いOS使いたいって考える人は
(合法かどうかは別にして)色々方法あるわけで、そんなの若い人は
当然承知だろうから、あなたが心配することじゃないかも

45 :774ワット発電中さん:2017/07/22(土) 08:29:48.71 ID:XglvVRxM.net
>>44
最新デバイスは BGA だし魅力を感じない。

46 :774ワット発電中さん:2017/07/22(土) 12:33:12.12 ID:VkaYZata.net
そうそう、パッケージがイヤだ。
QFPも入れておかないと、仕事で使えない。(コストが高くなる)
世の中の仕事で、BGAチップでなくて、QFPで済む仕事が半分以上なのに。

47 :774ワット発電中さん:2017/07/22(土) 13:49:38.00 ID:vBnj6Fxn.net
>あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
>「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
そうでもなかったな…

48 :774ワット発電中さん:2017/07/22(土) 13:56:09.78 ID:tAdg9egc.net
まあ、それは分かるし自分でも過去プロジェクトのメンテ用として
VirtualBox にXPとISE7とか10を入れてあるけど
開発してた当時の環境よりも逆に快適だったりする

49 :774ワット発電中さん:2017/07/22(土) 14:07:49.29 ID:vBnj6Fxn.net
>>45-46
俺もそんな感じ。
Xilinxはそういう客層との関わりから離れようとしてるんじゃないかな。
集中と選択、だろね。

50 :774ワット発電中さん:2017/07/22(土) 17:09:37.93 ID:XglvVRxM.net
>>47
最新のデバイスのultrascale使ってるやつとかいるのか疑問。

そろそろintelに移行するかな。

51 :774ワット発電中さん:2017/07/23(日) 19:55:06.75 ID:huHrNkxA.net
>>44
動いてそうなのと、何かあったときに文句が言えるのとは違う

52 :774ワット発電中さん:2017/07/29(土) 18:40:49.84 ID:XstsHznG.net
LED : out std_logic_vector(17 downto 10, 7 downto 0);
みたいな定義をしたいんだけど、何かうまい方法ある?

53 :774ワット発電中さん:2017/07/31(月) 07:38:00.48 ID:0iuK+U/s.net
>>52


54 :774ワット発電中さん:2017/08/02(水) 18:01:13.17 ID:fssG1CYf.net
>>52
基本的にはできない。
意図によってそれに変わる手段はあるかもしれない。

ほかの言語でも↓見たいな配列ってできないものがほとんどでしょ。
int a[17..10,7..0];
ただ、これも目的によってそれに変わる手段があったりもする。

55 :774ワット発電中さん:2017/08/02(水) 20:09:34.56 ID:gu7g6lts.net
歯抜けの配列作って何か便利なの?
255の次は1024になるかと思ってもそうはならないし。

56 :774ワット発電中さん:2017/08/03(木) 07:30:52.23 ID:QDmnO3no.net
不定で埋めればいい

57 :774ワット発電中さん:2017/08/03(木) 09:14:34.59 ID:WhLG6fxr.net
使わないビットは繋がなきゃいいだけだと思うのだが、
あえて、定義をしないってのがな。

58 :774ワット発電中さん:2017/08/03(木) 11:28:40.76 ID:9Z95BLB1.net
キャッシュにすればいいと思うの。

59 :774ワット発電中さん:2017/08/07(月) 19:10:58.72 ID:q8k3v3br.net
合成レポートに警告出るのがいやなのでは?
レジスタとかを実装するときにword単位でreg定義するとそんな感じにできるといいなあと思うことがある。

60 :774ワット発電中さん:2017/09/13(水) 19:26:48.33 ID:6sGSZlbl.net
8bitのデータ幅じゃないROMやRAMのアドレス出すとき最下位をA0じゃなく、
A1やA2にしたいときはあるな。
ROM側のデータシートもA0以外から始まってることも多いので、
Asic側の信号名と整合取りたくなる。
そういう場合は普通に最上位階層から使わないA0等も出力しちゃって、
合成のときに使わない信号をVirtualPin指定してる。

61 :774ワット発電中さん:2017/09/15(金) 14:59:12.36 ID:slx9nfd5.net
A0も使っておかないとデバッグの時x2してとかになると煩わしい。こともある。

62 :774ワット発電中さん:2017/09/25(月) 14:55:10.39 ID:clfh/CVp.net
>>60
[26:2] は別にいいんじゃね?
[17..10,7..0] は気持ち悪い。

63 :774ワット発電中さん:2017/10/26(木) 23:56:59.35 ID:Yf7KEgau.net
最近ちょっとしたプロジェクトをSystemVerilogに書き換えてるんだけど、
interface機能いいわ。ポートまわりめっちゃスッキリする。
あとenumもModelSimが値を名前で表示してくれるからデバッグ捗る。

64 :774ワット発電中さん:2017/12/09(土) 02:51:17.52 ID:CpO3hyNQ.net
学校で教えてるのは、Verilogが多いと思う。

65 :774ワット発電中さん:2017/12/27(水) 13:03:13.15 ID:22mySsTl.net
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

K9OCPSVO8P

66 :774ワット発電中さん:2018/03/13(火) 12:34:18.85 ID:90f9nJid.net
東芝情報システム が 車載半導体技術者を募集しています なう

67 :774ワット発電中さん:2018/03/14(水) 10:37:10.81 ID:XfddRtpO.net
そういえば南武線の沿線にトヨタがしこたま求人広告出してたって話があったな

68 :774ワット発電中さん:2018/03/15(木) 15:20:46.27 ID:kI6BPI83.net
労働生産性の低い業種は人いかんやろ。

69 :774ワット発電中さん:2018/03/26(月) 15:47:50.84 ID:zDhlItNO.net
定石の記述テクニック的なことを紹介している書籍ってあまりないよねぇ
たとえば、VHDLで条件コンパイルってできないよなぁ
generateとかは使えるところに制約あるし
無理やりC用のプリプロセッサを通して使ってたこともある
型変換のリファレンスとか諸々まとまった書籍ないかな

70 :774ワット発電中さん:2018/03/26(月) 19:32:41.92 ID:fsOFhLcz.net
洋書はいろいろあるけど、和書は無いね

71 :774ワット発電中さん:2018/03/26(月) 22:13:34.37 ID:6tW+bpP3.net
洋書で有名なものってありますか?

72 :774ワット発電中さん:2018/03/27(火) 06:53:47.58 ID:J2Zah2sk.net
VHDLで型変換とかアタマのオカシイ奴が沸いてきた

73 :774ワット発電中さん:2018/03/29(木) 21:23:27.50 ID:u96GUgDf.net
テーブル作ろうと思って reg & initial で書いたら
initial は合成しないからねっ!て警告された。
ISE は出来たのに。dc のバカっ。

74 :774ワット発電中さん:2018/03/29(木) 22:36:12.39 ID:EE1BJGAt.net
dcって何?

75 :774ワット発電中さん:2018/03/29(木) 22:49:42.83 ID:hOKwfj7J.net
design compiler(Synopsys)。

結局のところ、FPGAの回路設計とASICの回路設計は似て非なるもの、
と考えるのが良いんじゃないかな

76 :774ワット発電中さん:2018/03/30(金) 00:11:21.22 ID:kCXoPplu.net
結局、wire にして generate で書いたけど。
FPGA は ROM を使ってくるからテーブル使いやすいけど
ASIC だと BIST の問題もあるし、ROM は使いにくいからね。
ゲートに落とすと 1clock は厳しい。

77 :774ワット発電中さん:2018/04/02(月) 00:15:31.58 ID:JwQQEqY4.net
>>73
しないよ

リセット線が無いとどうしていいかわからないし

initial分かかれたものをどう半導体レイアウトにするんだ

78 :774ワット発電中さん:2018/04/02(月) 07:43:39.94 ID:tVqw9/vs.net
そもそも、論理合成する時点でinitial使っている時点でおかしいと思わないのか
FPGAですら

79 :774ワット発電中さん:2018/04/02(月) 14:09:33.38 ID:67HRidYA.net
普通ROMなんかプリミティブ使うだろ
ISEならcoregenだ

80 :774ワット発電中さん:2018/04/02(月) 15:48:42.89 ID:UH6nVK+8.net
周りの人に聞くことなく、ひとり独学でやっていると
時々ヘンなことをやってしまう場合があるね、Lonely合成なだけに・・・

81 :774ワット発電中さん:2018/04/02(月) 19:05:49.56 ID:5ENxwXa6.net
なかなか身の回りに詳しいのが居ないんよ

82 :774ワット発電中さん:2018/04/02(月) 19:16:04.56 ID:1Ik7NjaL.net
>>77
initial とリセットは何の関係もないだろ。

83 :774ワット発電中さん:2018/04/02(月) 20:45:08.36 ID:4QsISNxU.net
>>82
FPGAはリセット線無くても初期値決まるよね
ASICは通常初期値決まらないよね
そのデザインコンパイラーに、ROMを合成できるセルの部品はあるのかな。
通常フラッシュIPとか専用のを使ってFPGAとは比べものにならないくらい面倒になるんだけど
なんでinitialで合成できるのか教えてもらえますか?

84 :774ワット発電中さん:2018/04/02(月) 20:49:29.86 ID:4QsISNxU.net
わたくし勉強不足で独学でFPGAとASICを身につけたんでよくわからないんです。
あと派遣期間が長く転職回数も多いのですがどこか年収600万円以上もらえる仕事があったら教えてもらえますか?

85 :774ワット発電中さん:2018/04/02(月) 22:46:57.90 ID:aWZt2mJD.net
ダメだこりゃ

86 :774ワット発電中さん:2018/04/02(月) 23:24:35.20 ID:11tQn8Ni.net
てst

87 :774ワット発電中さん:2018/04/04(水) 00:15:43.43 ID:fYJ4U2VL.net
年収低いけど
不正脈出るくらいの職場よりましかな?
でも、前の職場の方が楽しかったかもしれない。

88 :774ワット発電中さん:2018/04/04(水) 06:38:59.94 ID:WmskONQo.net
もう、技術以外で何を売るかを考えた方がいいよ。

89 :774ワット発電中さん:2018/04/04(水) 06:40:03.83 ID:XJC976SS.net
>>88
人か?人なんだな?

90 :774ワット発電中さん:2018/04/04(水) 06:41:36.28 ID:Pkb8ccnt.net
臓器

91 :774ワット発電中さん:2018/04/04(水) 07:09:01.76 ID:WmskONQo.net
犯罪はだめだぉ。

92 :774ワット発電中さん:2018/04/04(水) 07:42:19.68 ID:TXXd8oqg.net
ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで

93 :774ワット発電中さん:2018/04/04(水) 08:48:33.67 ID:bZ8C2n/b.net
>>87
>不正脈出るくらいの職場

組織ぐるみで不正を行っているってこと?

94 :774ワット発電中さん:2018/04/04(水) 10:21:21.76 ID:zwEq6WSM.net
単純に火の車なんですよ

95 :774ワット発電中さん:2018/04/05(木) 07:43:09.77 ID:dGwsOjWq.net
>>93
病気になるよりましってことじゃね

96 :774ワット発電中さん:2018/04/05(木) 08:01:03.60 ID:JsehYMhi.net
>>92
AV1 エンコーダ頼む。
3000円払うわ。

97 :774ワット発電中さん:2018/04/05(木) 08:36:23.29 ID:dGwsOjWq.net
>>96
なにそれ?AVのモザイク消す奴?

98 :774ワット発電中さん:2018/04/08(日) 10:56:54.84 ID:rCCRb1ol.net
ROMにするか組合せゲートにするかの境目は何?

99 :774ワット発電中さん:2018/04/08(日) 11:46:23.68 ID:XHtpeZ/Y.net
>>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?

DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる

100 :774ワット発電中さん:2018/04/08(日) 12:07:47.36 ID:vxzksf4t.net
サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に

81 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver.24052200