2ちゃんねる ■掲示板に戻る■ 全部 1- 最新50    

【EP3】DE0で始めるVerilog HDL【C16】

1 :DE0のK22ピンに注意:2011/07/11(月) 14:26:41.72 ID:eB05C4am.net

CQ出版から2011年7月22日に発売された書籍と、
ALTERA FPGAの載ったボード「DE0」について語るスレです。

ハードウェア記述言語HDLの学習はとにかく使ってみることが大切です。

HDLの初心者,HDL解説書を読んだが思うように理解が進まなかった人、
何かというとスグに「米国本社の英語マニュアル嫁!」で困っているFPGAソフト屋さん、
組み込みマイコンをやってみたいけど、家事と育児に追われて時間のない人、
新型デバイスが発表されても、ちっとも出回らなくて辟易している人、
VHDLはなんでソースがあんなに長いんだ?と思っている人、
渦子ちゃんを触ってみたいチェリーな人、

みんな み〜んな大集合! 楽しく情報交換して、DE0でいろんなもの作りましょう。

  さぁキミも、レッツ F・P・G・A〜ぇぃ !!

CQ出版 DE0の本のページ
http://shop.cqpub.co.jp/hanbai/books/I/I000030.htm

DE0のメーカーのページ
http://www.terasic.com.tw/en/

ソリトンウェーブ
http://solitonwave.shop-pro.jp/?mode=cate&cbid=547287&csid=0

DigiKey
http://www.digikey.jp/

アルテラ
http://www.altera.co.jp/


2 :774ワット発電中さん:2011/07/12(火) 19:25:26.37 ID:GjYZ+uvS.net

 もしかして

   俺って、 2ゲット?


3 :774ワット発電中さん:2011/07/15(金) 10:46:49.82 ID:n8bNR3Ha.net
おぉ、丁度触り始めた所です。
色々教えて欲しいっすw
ときに、スケマしたののシンボル吐かせようとすっとファイル生成に失敗するのウチだけ?

4 :774ワット発電中さん:2011/07/15(金) 10:52:01.56 ID:Vtmj47h8.net
もう少し詳しく教えて!


5 :774ワット発電中さん:2011/07/15(金) 11:01:05.32 ID:n8bNR3Ha.net
回路図を入力してbdfファイルを作成ののち、bsfファイルを作りたいので
File→Create/Update→Create Symbol Files for Current File
で、"Can't open read-onry file C:/Proj/xxx.bsf" って出て何もしない。

試しに、xxx.bsfのファイルを先に作っておいて上書きすると成功します。
Win7の問題だろうか?

6 :774ワット発電中さん:2011/07/15(金) 21:38:52.50 ID:LxHMJmPW.net
DE0、アカデミックでソリトンから買ってしまったわ
CQのアカデミックのほうが別々に買うよりお買い得だったな・・・

7 :774ワット発電中さん:2011/07/16(土) 00:36:02.52 ID:aIyQz98/.net
でも、CQで両方買うと、結構な金額になりそうだね

8 :774ワット発電中さん:2011/07/17(日) 11:31:31.16 ID:DzStYn5F.net
今週末発売なのに、書き込み増えないね。
人気ないのかな?


9 :774ワット発電中さん:2011/07/17(日) 12:17:44.54 ID:XBKoxNbv.net
verilogで

reg [3:0]count;
always@(posedge clk)begin
count <= count+1;
end

assign led = (count==4'b10x0); ←不定のxって使えないんですかね? bit1を無視したいんですが

コンパイルは通るけどRTL VIEWERで見ると何も無いので、
完全に無視されてるんですが。

10 :774ワット発電中さん:2011/07/17(日) 12:29:43.27 ID:9+P4IBQX.net
スレ分散させてどうすんだろうと思いつつ。

>9
count のbit1 が不定 ... つまり 0 だか 1 だか分からない時 (0 でも 1 でも良いという意味ではない)
に "==" が成立する、という動作になってんだろ。無視はされてない。

実機では 0 だか 1 だか分からないってことはないから "==" が成立することはなく、
assign 文ごと消えると。


11 :774ワット発電中さん:2011/07/17(日) 13:15:19.36 ID:XBKoxNbv.net
そうでしたか、助かりました。ありがとうございます。

12 :774ワット発電中さん:2011/07/17(日) 13:48:33.36 ID:c5uAkMIC.net
>>10
横からすみません。教えてください。

>0 だか 1 だか分からない時 (0 でも 1 でも良いという意味ではない)
これは、どういう事なのでしょうか?
xだと「1でも成立、2でも成立」ということでは無いんでしょうか?


13 :774ワット発電中さん:2011/07/17(日) 19:54:14.98 ID:hvMXZBQc.net
Digikeyのが届いたけど、いい匂いだな
書籍5kはちと高い・・・。PDF版単体で売ってほしいわ。

14 :774ワット発電中さん:2011/07/17(日) 21:55:41.39 ID:9+P4IBQX.net
>12
x が「0 でも 1 でもオケ」になるのは casex 文の時だけ。




15 :774ワット発電中さん:2011/07/18(月) 00:00:03.53 ID:7zXUZh2X.net
>>14
ありがとうございました。そうなんですか。
xをドンとケアは使えるところと使えないところがあるんですね。
ありがとうございました。

STARCの本を買って勉強します。
ありがとうございました。



16 :774ワット発電中さん:2011/07/18(月) 00:15:00.31 ID:epgW8WOL.net
なるほど、なるほど

17 :774ワット発電中さん:2011/07/18(月) 01:10:59.28 ID:lqo5nN6A.net
>ドンとケア
ワロリン

18 :774ワット発電中さん:2011/07/18(月) 03:19:20.82 ID:91Ta/D49.net
CQからDE0+CD-ROM買うのと、デジキーからDE0だけ買うのとでは、
どっちがおいしいでしょうか。

19 :774ワット発電中さん:2011/07/21(木) 00:29:37.56 ID:8CpKN2Wn.net
いよいよ明日ですね

20 :774ワット発電中さん:2011/07/21(木) 14:44:28.58 ID:UseFgD/i.net
VHDLだったら即買いするんだけど、VerilogみたいなのでNIOS IIの記事を読んで考える。

21 :774ワット発電中さん:2011/07/21(木) 16:34:49.68 ID:ApNtWPEn.net
5kの価値あるのかな

22 :774ワット発電中さん:2011/07/21(木) 21:12:18.01 ID:n0YQFvbH.net
>>20
自分もVHDLなら即買いだったかな。NiosII部分の記事を読んで決めようと思ってる。


23 :774ワット発電中さん:2011/07/22(金) 10:27:23.16 ID:Oi9++QY6.net
HDLを始めようと考えているのですが、VHDLはそんなにいいんですか?
たまたま仕事でやっているから、慣れてるというだけではないのですか?
記述量は多いし。


24 :774ワット発電中さん:2011/07/22(金) 13:10:04.07 ID:Z0QkGygd.net
テストベンチ使いこなしたいならVerilog
VHDLは下位モジュールの信号を引っ張り出すのが面倒

25 :774ワット発電中さん:2011/07/22(金) 15:20:17.41 ID:Oi9++QY6.net
ありがとうございます
そうするとますますVerilogが良いように思えます。


26 :774ワット発電中さん:2011/07/22(金) 17:38:59.33 ID:uTiwa+s6.net
QuartusIIって両エッジを受ける記述通らんのですかね?

always@(posedge hoge or negedge hoge) みたいなの

27 :774ワット発電中さん:2011/07/22(金) 20:16:45.94 ID:Z0QkGygd.net
むしろそういうことができるFPGAってあるっけ?
ないならQuartusIIでも無理なのは自明
シミュレーションなら可能だろうけど

28 :774ワット発電中さん:2011/07/22(金) 21:00:59.63 ID:uTiwa+s6.net
やっぱりceのflipflopがどちらか片方っぽいので構造的に無理そうですね、
高周波か遅延回路使ってエッジ検出するしかないですか・・・

29 :774ワット発電中さん:2011/07/22(金) 21:30:27.75 ID:Z0QkGygd.net
5,000円もするのか〜初学者には高く感じるだろうな

30 :774ワット発電中さん:2011/07/23(土) 19:37:40.98 ID:9vZZHlNc.net
森氏には不評っぽ
http://twitter.com/#!/hmori/status/94388772225024000

31 :774ワット発電中さん:2011/07/23(土) 21:04:39.42 ID:FKzxRoKw.net
>>24
そんなことしているの?
ModelSimのマニュアルを読めば幸せなのに。

>>23
> 記述量は多いし。
Verilog派の人はそれを良く言うね。
でもVHDLはAdaに由来し、その言語仕様は大規模プロジェクトでバグを作り込まないための、長年の言語工学の研究の成果によるもの。
系譜としてはAlgol→Algol68→Ada→VHDLだけど、AdaはN.Wirth教授の功績が大であると指摘しておく。
Algol68からブランチした中に、N.Wirth教授による実験的言語Modulaがあるが、これはモジュール構造を仕様に持ち込んだもの。

モジュール構造とは、上位から下位までを階層を成し、名前空間のスコープを制限するもの。
これにより、大規模プロジェクトで知らぬ間に他人が作った同名の関数名とか、変数にリンクするのを防ぐ事が出来る。
知らない間にリンクしてしまうと、それを見つけるのは厄介だからね。

VHDLの記述量は多いのは、ここら辺に由来する。
その分、コンパイラさえ通ってしまえば、残るは論理的バグのみ。
Verilogと違い、その安全面とデバッグしやすさは、記述するだけで得られる面は大きい。

実際、Verilogはその後SystemVerilogが作られたが、SystemVHDLは作られていない。
これは元々VHDLがSystemVerilogの改良点を含んでおり、作る必要がないため。
それだけ言語仕様としては先進だったのさ。

32 :774ワット発電中さん:2011/07/23(土) 21:10:54.39 ID:FKzxRoKw.net
ちなみに、
> 知らない間にリンクしてしまうと、それを見つけるのは厄介だからね。
この保護機構が無いVerilogは、

>>24
> テストベンチ使いこなしたいならVerilog
な荒技が出来てしまう。怖い怖い。


33 :774ワット発電中さん:2011/07/23(土) 21:17:31.04 ID:KR6Z7Yys.net
なんでそんなにVHDLに詳しいのか知らないが
ModelSimでもVerilogの$readmemhとか$writememhとかみたいな便利なものは無い
まあ大規模なものがVerilogで記述されてる実績を見ても
そんなに心配することでもなかろうに

34 :774ワット発電中さん:2011/07/23(土) 21:24:34.52 ID:DYyiW7Aw.net
std_logic_vectorとか、いろいろ長くてイヤw

35 :774ワット発電中さん:2011/07/23(土) 21:26:05.86 ID:jg41karn.net
          _______
        /\ ⌒ヽ≡≡≡≡\
       / ((   |A D A|;;;;;∵. ... \ 
     /◇\/ ̄ ̄ ̄ ̄ ̄\/◇.\  
    /   \ \____|| | | ||____//     \
  /  /// ̄/ ̄ ̄V ̄ ̄ \ ̄\//   \
   ̄ ̄ ̄    \ ≡||□||≡ /     ̄ ̄ ̄

36 :774ワット発電中さん:2011/07/24(日) 19:22:08.72 ID:w8jh0rOD.net
目次読んだときはワクワクしてたのに、内容が薄すぎて10分で読み終わった。
書いたのソリトンウェーブの中の人っぽいから工業高校あたりの教科書になるの決まってるんじゃない?

37 :774ワット発電中さん:2011/07/24(日) 21:11:23.90 ID:qnfsClKL.net
>>31
だけど、タイプ量多いよね
しかも、なぜかstdは、standardとフルで打たないんだよね



38 :774ワット発電中さん:2011/07/24(日) 22:09:19.04 ID:UsBwTUA5.net
>>36
だからこんなに高いのか

39 :774ワット発電中さん:2011/07/25(月) 02:14:27.44 ID:aLNMLhPs.net
>>36
>内容が薄すぎて
先輩風ビュービューの、少しだけできるから天狗になっている、そんなお前には
そう感じるかも知れない。が、初心者は、それを読み解くのに3ヶ月とかかかるんだ。
お前にだって、そういう時期があっただろう。黙って見てろ。


40 :31:2011/07/25(月) 06:35:58.62 ID:ebjHcs3a.net
スレチ スマソ
>>34 >>37
std_logic_vectorは、言語仕様でなくてライブラリなんだけど…。
Ada/VHDLはタイプオーバーロード出来るからね。
嫌ならライブラリを直せばslvとか、短く出来るよ。

その他タイプ量が多いのは事実だけど、あれ、Adaが英文として自然な方向に設計された為。
ウロ覚えだけど、電話でコードをしゃべるテストをして、プログラミング言語の中で一番正しく伝わったのがAdaだった逸話があるんだって。
それだけ、Adaは英語圏の人には自然な文法になってるんだってさ。

41 :774ワット発電中さん:2011/07/25(月) 08:13:35.81 ID:0sOs3nPg.net
電話で伝えるためのbegin endだったか。
もういいかげん{}にしてくれよ…

42 :774ワット発電中さん:2011/07/25(月) 10:44:44.52 ID:+C5d+MIo.net
激しく同意

Verilogの、//や/*のコメント表記は、柔軟性があって好きだ

43 :774ワット発電中さん:2011/07/25(月) 20:04:46.46 ID:hcgNqI8L.net
>>41
> もういいかげん{}にしてくれよ…
それ、初期のAlgol系からブランチした言語群のふる〜い仕様。
Cとかもそうで、未だにひきずってるw

44 :774ワット発電中さん:2011/07/25(月) 20:07:33.56 ID:6b+3hER8.net
Cのどこにbegin endがあるんだ?
一度自分の知識が根拠のない神話にどれだけ染められてるか確認したほうがいいと思う。

45 :774ワット発電中さん:2011/07/25(月) 20:24:53.50 ID:hrZ5mGpf.net
>>44
お前はCより日本語を勉強したほうがいいと思う。

46 :43:2011/07/25(月) 22:55:36.95 ID:ebjHcs3a.net
>>44
C言語は系統的にAlgol60→CPL→BCPL→B→Cなんだけど、begin〜endと{}とは同じブロック文。

BCPLでは「$( 〜 $)」だった。
CPLは文献が失われて知らない。
Algol60では「begin 〜 end」。
こんな訳で、元を辿れば「begin 〜 end」のブロック文。

俺が言うことより、大学のせんせいの言うことを信じるかな?
ttp://www.edu.cc.uec.ac.jp/ee/ip/c_lang/pas-c-ex.html

47 :774ワット発電中さん:2011/07/25(月) 23:16:38.98 ID:ebjHcs3a.net
そんな訳でbegin endや{}はブロック文を示すもの。
 C : if (…) {…} else {…}

でも、比較的新しい研究成果を元にしているAda/VHDLは
 VHDL : if … then … else … end

と、ブロック文である事を明示的に示さなくても良い様に改良されている。
でも古いところから分岐したVerilogは、
 Verilog: if (…) begin … end else begin … end

と、ブロック文が残っている(← Verilogの話しに戻したw)

それと、俺は>>45ではないから。

48 :774ワット発電中さん:2011/07/26(火) 03:33:03.64 ID:z9JVtvyN.net
CQの販売ページを見ると、18600円?のタイプは、在庫僅少になってる。
俺は5040円のを買ったけど、18600円のフルセットを買った人はいないのか?


49 :774ワット発電中さん:2011/07/26(火) 08:27:21.43 ID:MnNAkOnr.net
>>46
授業資料に書いてある「歴史」なんてのはたいていあやしい。

きちんとした考察を読め。
http://www.lbm.go.jp/toda/comp/corigin.html

50 :774ワット発電中さん:2011/07/26(火) 12:18:57.51 ID:CKtvDsbr.net
>>49
例えば、B言語やC言語の顕著な特徴である「視覚的表現」が、これに該当する。 典型的なのは、ブロックの区切りに「begin」「end」というキーワードではなく、 括弧記号「{ }」を用いたことであろう。

51 :774ワット発電中さん:2011/07/26(火) 12:22:47.24 ID:CKtvDsbr.net
>>49
つうことで、ブロック文であることがここにも書かれているが?
ところでいい加減スレチだから、よそに行けよ。

52 :774ワット発電中さん:2011/07/26(火) 14:09:00.18 ID:t30gYObj.net
DE0にサウンド出力とSRAMさえ載ってればもっと色々できるのに。
あ、それDE1だわ
SRAM→内部BlockRAM、サウンド→1bitΔΣ出力で我慢するか…

53 :774ワット発電中さん:2011/07/26(火) 21:27:04.46 ID:DaS3Wyo4.net
それで充分じゃんか。


54 :774ワット発電中さん:2011/07/26(火) 22:07:41.49 ID:VMvNepGc.net
nanoの俺はどうすればいい?

55 :774ワット発電中さん:2011/07/27(水) 17:30:05.56 ID:YWbT3ima.net
>>52
少し高いけどDE1の方がいいかもね
>>54
nanoはmicroSDスロットを何故付けなかったし

56 :774ワット発電中さん:2011/07/29(金) 01:06:24.70 ID:Nfkgpb3F.net
本買った。実際にDE0で試して見た。NIOS IIも本の通りやってみた。確かに動いた。
けど、NIOS IIについての記述がもっと欲しい。FLASHに書き込む方法とか、デバッグの方法とか。
何か良い本は無いでしょうか?
明日、町の本屋まで行くので、ぜひ買ってきたいです。

わかったことは、SOPCビルダーで機能を選んだら、FPGAによるマイコンが完成し、
あとはマイコンソフトをゴリゴリ作るということ。
ただし、マイコンソフトのコンパイルが、とても長くて、やってられないこと。
PCが止まってしまったかと思うくらい長時間。


57 :774ワット発電中さん:2011/07/29(金) 09:46:14.00 ID:fAmbsqaW.net
>マイコンソフトのコンパイルが、とても長くて

論理合成とC言語のコンパイルどちらでしょう
論理合成なら一回キリですし長くても耐えられそうですけど、
C言語のコンパイルが長かったりしたら問題ですね。

58 :774ワット発電中さん:2011/07/29(金) 19:38:59.60 ID:q++T38Le.net
JTAG-UARTってどれくらいの速度出るんだろう
シリアルが230Kbpsとしたら1Mbpsくらい出るかな?
SOPC Builderで作れるかな

59 :774ワット発電中さん:2011/07/31(日) 14:49:01.19 ID:OroMChom.net
スケマライブラリのmuxplus2にある21muxって
セレクト端子が1のときAで0のときBという変態仕様なんでしょうか?

60 :59:2011/07/31(日) 15:27:20.47 ID:OroMChom.net
自己解決。
一生懸命リファレンスを探していたけど、
OpenDesignFileで等価回路を参照できたのね

61 :774ワット発電中さん:2011/07/31(日) 19:32:01.15 ID:ANOEgNSr.net
FPGAのRAM上に転送して実行させ、電源を切れば忘れてしまうファイルは、
xxx.sof (SRAM Object File)だと思いますが、FlashROMの中に書き込んで、
電源off後でも、起動できるファイルは、どのような拡張子でしょうか?
xxx.mcsとかを探したのですが、見つかりません。xxx.bitファイルも見つかりま
せんでした。

あと、ASCデバイスとは、何でしょうか? ALTERA Sxxxx Cxxx の略でしょうか。

よろしくお願いします。


62 :774ワット発電中さん:2011/07/31(日) 21:50:05.55 ID:OroMChom.net
拡張子はjic
ファイルメニューのconvertprogramfileでsofを入れ込んで作るんだけど、
ここらへんは公式HPのビデオ見るのが早いかな。

63 :774ワット発電中さん:2011/07/31(日) 21:54:58.09 ID:8kAc+t72.net
>>61
pofとかjitとか、色々あるよ。

64 :774ワット発電中さん:2011/07/31(日) 22:07:52.51 ID:OroMChom.net
http://www.altera.co.jp/literature/manual/intro_to_quartus2_j.pdf

のP183辺りですかね。

65 :774ワット発電中さん:2011/08/01(月) 02:03:26.71 ID:v+6BtqM6.net
ありがとうございます。さっきからずっと、pofを探しているのですが、
一向にに見つかりません。ALTERAのページには、sofと同時にpofも生成される、
とあるので、フルコンパイル(CTRL+L)を実行しているのですが。
Programmerで、modeをASにすると「何かが足りないから、このモードはできないよ」
と言われます。
DE0にはEPCS4というconfig ROMが載っているので、それに書き込めば、
電源起動時に読んでくれると思うのです。

>>62, 63, 64
ありがとうございます。明日、試してみます。
ファイルの拡張子がたくさんあって、覚えきれません。
jamとかは、まるでプリンターのjamのよう。

66 :774ワット発電中さん:2011/08/01(月) 02:08:17.54 ID:v+6BtqM6.net
連投すみません。
CQの本で勉強していますが、RAM上で動かす方法だけしか書かれていないように思います。
RUN←→PROG SWは、常にRUN側にして置いてください、って書かれています。
PROG側にしないとASモードは使えないと思うです。
Programmerについては、Xのほうがわかりやすいと思うのですが、どうでしょう。


67 :774ワット発電中さん:2011/08/01(月) 07:41:01.41 ID:0VDO/xId.net
そこらへんは構成と利便性の兼ね合いだから何とも言えない
DE0ではコンフィギュROMがJTAGに繋がっていないので、
デバイス経由での書き込みになってるからややこしい。

68 :774ワット発電中さん:2011/08/01(月) 08:23:12.53 ID:Z+jeKhjK.net
>>66
> CQの本で勉強していますが、
それでは…。
Alteraは意外に日本語ドキュメントを用意しているから、そっちを見たほうが早いよ。

69 :774ワット発電中さん:2011/08/01(月) 20:27:37.83 ID:f2myrUMr.net
Alteraは日本語音声とスライドのオンライントレーニングもあるてら

70 :774ワット発電中さん:2011/08/01(月) 22:30:35.63 ID:TGsm3/3+.net
Nios II Software Tools for Eclipseって
QuartusIIをインストールしただけじゃ使えないんですか?
Nios II エンベデッド・デザイン・スイート(EDS)も
インストールする必要があるとか

71 :774ワット発電中さん:2011/08/02(火) 15:28:07.41 ID:zzpb1bXf.net
名前的にスイートの方を入れるべきだな

72 :774ワット発電中さん:2011/08/02(火) 17:27:12.11 ID:o/ZdYlqE.net
Nios II エンベデッド・デザイン・スイート(EDS)インストールしたら
Nios II Software Tools for Eclipseが使えるようになりました。

73 :774ワット発電中さん:2011/08/02(火) 23:20:53.54 ID:k0QmnkMf.net
>>65,66
pof自体は生成されていますか?
私もあまり自信がないのですが、cpnfigDeviceの中のUse configuration devceを
EPCS4にすると、pofが生成できるようですが。


74 :774ワット発電中さん:2011/08/03(水) 09:15:50.65 ID:BItewBJR.net
初心者にとっては開発環境を整備するだけでも難しく感じるね。最近de0-nanoを試したくてPCに
開発ツールをインストールしたのだけど、ちょっと悩んだところを書いとく。
インストールするべきソフトは「QuartusII」と「NiosII_EDS」、それぞれ別々にインストールする
必要がある。解説によっては「NiosII_EDS」の代わりに「NiosII_IDE」で説明されていることもあるけど
前者は後者の後継にあたる新しいバージョン、NiosII_EDSをインストールするとNiosII_IDEも同時に
インストールされる。もしIDEの方を使いたいという場合はウィンドウズのスタートメニューから起動する
必要がある。QuartusIIとNiosII_EDSはデフォルトのインストールでデスクトップにアイコンができたかな。
開発には他に「SOPC Builder」と「Programmer」を使うことになるけどこれらはQuartusIIをインストールすると
同時にセットアップされる。de0-nano買ったばかりだけどde0の方がよかったかな?

75 :774ワット発電中さん:2011/08/03(水) 10:15:16.56 ID:aractoS1.net
>>74
同士よ〜
nanoはスイッチが直接操作できないのが面倒でならんw

76 :774ワット発電中さん:2011/08/03(水) 12:53:19.26 ID:XJoAFnpC.net
FPGAでもmbedみたいな開発環境がクラウドになっているのがあれば面白いのにね。
チップ限定でも良いから

77 :774ワット発電中さん:2011/08/03(水) 15:27:18.10 ID:25sq477G.net
>開発環境がクラウド

それらしき物を発見
ttp://zone.ni.com/devzone/cda/tut/p/id/11917


78 :774ワット発電中さん:2011/08/13(土) 00:57:38.70 ID:Hbrbwdmq.net
あんまり盛り上がってないみたいだね

79 :774ワット発電中さん:2011/08/13(土) 07:10:49.55 ID:H7gocn8M.net
何気に>>56氏が「何か良い本は無いでしょうか?」と、総評を書いてしまっているしな。
本屋で本を手にとってないけど、>>56を読んで買う程の本ではなさそうとオモタ。

ソリトンシステムとDE0を販売しているソリトンウェーブって、関係ないのかな?
ソリトンシステムの林氏がディジタルデザインテクノロジ誌に寄稿した、FMラジオの記事。
あれのDE0移植版のハードでも提供されれば面白かっただろうに。

とりあえず、本を一通りやったらZet processorで遊ぶのがいいよ。
 Zet processor
  ttp://zet.aluzina.org/index.php/Zet_processor
  Zet processor is an open implementation of the so widely used IA-32 architecture (generally called x86).
v1.2からDE0をサポートしたし、ただのFPGA基板がクラッシックなパソコンに変身するのも面白いし。

80 :774ワット発電中さん:2011/08/13(土) 10:06:33.38 ID:Iey6t+bS.net
どういうレベルのひとがDE0とかスレタイの本とか買うのだろう?
始めてFPGAにさわる初心者ならかって損はなさそうかなとは思う。アマゾンにも
カスタマーレビューが一件アップされてるね。
しかしFPGAって面白いのにマイコンに比べて情報が少ないな。開発ツールに依存する
部分も多くて古い記事ではこれから始める初心者にはあんまり役に立たなかったり。
NiosIIのソフトウェアのコンパイル時間は自分のパソコン環境では最初のビルドでは
1分くらい、2度目からは20秒くらいかな。汎用マイコンのに比べれば遅いね。

81 :774ワット発電中さん:2011/08/13(土) 17:59:59.16 ID:6XIbVTTu.net
全角でべたべた書き殴ったあげくに上げんなゴキブリ野郎。ぶち殺すぞ

82 :774ワット発電中さん:2011/08/13(土) 18:31:02.88 ID:kH/TBJqR.net
こっちにも落ち武者現れたかwww
死ねばいいのに。

83 :774ワット発電中さん:2011/08/14(日) 20:47:49.06 ID:ZsvZWIjj.net
>>67

いやマニュアル通りにやれば問題ないと思うけど。 どこがややこしいのか判らん。

コンフィグROMに直接書けないと嫌だというだけなんだろうか?



84 :774ワット発電中さん:2011/08/15(月) 09:49:19.29 ID:tOaKtXF4.net
コンフィギュに直接書けないからXに比べて直感的でなくなってる罠

85 :774ワット発電中さん:2011/08/18(木) 17:49:42.32 ID:lefQx/bY.net
アルテラの開発ツールについての質問です。
.sofファイルと.elfファイルをツールを起動せずにターゲットにダウンロードするための
バッチファイルを作りたい。ファイル名はtest.sofとtest.elfでc:\の下にコピーされています。

@path c:\altera\10.1sp1\quartus\bin;
@echo off
@ set SOPC_BUILDER_PATH=%SOPC_KIT_NIOS2%+%SOPC_BUILDER_PATH%
@ quartus_pgm -m jtag -c USB-Blaster[USB-0] -o "p;test.sof"

バッチファイル内にこのような記述をすると.sofファイルはダウンロードできます。
.elfをダウンロードするにはどのように記述すればいいのでしょう?

Nios2インストールフォルダにあるNios II Command Shell gcc3.batを実行してその中で
nios2-download c:/test.elf -g -r
と入力するとダウンロードできるのは確認できています。
これをバッチファイルで処理したいと言うことです。

86 :774ワット発電中さん:2011/08/18(木) 20:17:19.41 ID:omgkfPBV.net
いちいち上げるな知障

87 :774ワット発電中さん:2011/08/25(木) 19:03:25.89 ID:ZZ4d0a3M.net
上げときますね♪

88 :774ワット発電中さん:2011/08/26(金) 04:05:20.86 ID:XENJUIO0.net
僕も上げときます。

89 :774ワット発電中さん:2011/08/26(金) 09:28:16.87 ID:jUIRPXO8.net
教えてくれるまでage

90 :774ワット発電中さん:2011/08/26(金) 09:52:41.68 ID:lnFgwM0W.net
age

91 :774ワット発電中さん:2011/08/27(土) 21:26:11.70 ID:sLYJn33J.net
シュミレーションは、やった方がよいでしょうか

92 :774ワット発電中さん:2011/08/27(土) 21:53:24.95 ID:WyXl+njg.net
しなくともよい。
ただ、問題が発生してすることになるだろうけどw

93 :774ワット発電中さん:2011/08/27(土) 21:56:54.07 ID:WyXl+njg.net
それと、シュミレーションではなくシミュレーションな。

94 :774ワット発電中さん:2011/08/28(日) 01:57:46.23 ID:MKGd7E9X.net
了解しました。
ISim使ってみます。ありがとうございました。


95 :774ワット発電中さん:2011/08/29(月) 03:47:54.63 ID:xGfeTYRd.net
DE0のフラッシュROMに書き込む方法、
というか、電源onで自起動するようにしたいのですが、
どのようにすれはよいのでしょうか。
先日発売のCQの本には、最後が端折ってあって、わからないです

96 :774ワット発電中さん:2011/08/29(月) 12:29:36.23 ID:1lA5UL7g.net
わたしもまだやったことないんだけど、想像では
スイッチをPROGにして、de0の電源入れて、asモードで
書き込めばいいような気がするんだけど、あってますか?



97 :774ワット発電中さん:2011/09/02(金) 00:58:46.82 ID:uCCV09pd.net
あってないような気がするんだけど、あってますか?

98 :774ワット発電中さん:2011/09/02(金) 12:31:43.77 ID:oUVWQ7mS.net
やってみればわかるだろ

99 :774ワット発電中さん:2011/09/21(水) 00:17:43.39 ID:AHmY7YXY.net
どうせならみんなでCPUとか作ってみよーぜ

100 :774ワット発電中さん:2011/09/21(水) 01:01:55.79 ID:Nb10kV+7.net
>>99
>>79

101 : 【東電 75.3 %】 :2011/09/28(水) 19:45:42.60 ID:7+lg/khV.net
DE0対応で新たな書籍がでたね。

FPGAボードで学ぶ組込みシステム開発入門 〜Altera編
ttp://gihyo.jp/book/2011/978-4-7741-4839-7


102 :774ワット発電中さん:2011/09/28(水) 20:19:26.63 ID:z1lYGj/k.net
買ってみようかね

103 :774ワット発電中さん:2011/09/28(水) 21:40:07.51 ID:5UiS+sAt.net
de0-nanoに対応したこの手の本がでないね。
FPGA自体の経験はほとんどないのでカウンタやデコーダといった基本機能ではなく、
SPI通信モジュールやメモリコントローラといった応用機能を実現するための手順が
具体的に解説してあるような書籍があったらいいんだけどね。
今のところNIOSは普通に使えているしSDRAMも組み込めている状態なので、
アマゾンの読者レビュー見る限り必要ないかなとも思えるし。
この書籍ではFPGAのどんな機能モジュールを作っているのだろうか?

104 :774ワット発電中さん:2011/09/28(水) 23:53:33.88 ID:XrEkEFgR.net
>>103
MegaWizardを起動するだけの希ガス

105 :774ワット発電中さん:2011/09/29(木) 00:22:21.32 ID:e8SLm1YO.net
>>101
田舎ではそんな本は本屋に並ばないから立ち読みできない、orz

>>102
買ったら感想よろしく

106 :774ワット発電中さん:2011/09/29(木) 00:35:56.92 ID:v6CNnxSM.net
データはリンク先のサイトから普通にダウンロードできるみたい。
解説読まないと意味ないと思うけど。

107 :774ワット発電中さん:2011/09/29(木) 00:49:24.51 ID:e8SLm1YO.net
>>106
それ見た感じだと、nios2で色々したい人はよさそうな感じはするけど。
自分にとって良い本・買うに値する本なのかどうか解らず


108 :774ワット発電中さん:2011/09/29(木) 03:19:36.03 ID:mkrTyHFC.net
後からするから後悔と言うのだ。
まずは買って、ハズレだったら後で好きなだけ悔やめばいいさ。

109 :774ワット発電中さん:2011/09/30(金) 07:12:49.72 ID:V+1ukP7s.net
>>101
この本は素晴らしいぞ。
自作IPにAvalonバスをつけてSOPC Builderに組み込む方法や
DE0でuClinuxを動作させて、ドライバを組む方法まで書かれている。

110 : 【東電 67.3 %】 :2011/09/30(金) 22:45:39.27 ID:yP23+Acu.net
参考文献に、THE AVALON M@STER の人のもあるのかー。


111 :774ワット発電中さん:2011/09/30(金) 22:53:14.44 ID:fblU1htT.net
〜の部屋の人も自分ところが参考文献になってるって
ブログでびっくらこいてたな。

112 :774ワット発電中さん:2011/10/03(月) 22:32:45.94 ID:j+idPqJu.net
amazon で何時のまにやら在庫6。
明日、明後日くらいで捌けそうだ。

113 :774ワット発電中さん:2011/10/03(月) 22:36:12.16 ID:xCJ5asUN.net
俺も注文してみた

114 :774ワット発電中さん:2011/10/06(木) 22:15:09.07 ID:/y+kGPEs.net
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編
http://gihyo.jp/book/2011/978-4-7741-4839-7

これめちゃくちゃすばらしいな



115 :774ワット発電中さん:2011/10/06(木) 22:18:06.45 ID:CD0Ivj/b.net
今日買って今読んでる
まだ1章だが、良さそうな雰囲気

116 :774ワット発電中さん:2011/10/06(木) 22:32:03.11 ID:xJiKtc8t.net
>>114>>115
もう少し具体的に書いて。著作権に触れない範囲で。
THE AVALON M@STER が参考文献になってるそうだけどアバロン・スレーブの自作について
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編 とどちらが詳しくかつ分かりやすいのだろうか?


117 :774ワット発電中さん:2011/10/06(木) 22:54:47.49 ID:IGLKSa4z.net
あと2冊。

118 :774ワット発電中さん:2011/10/07(金) 11:55:51.72 ID:p90wFZ0u.net
あと1冊

ポチったら無くなった
ホントに厳密に在庫管理してるのね

119 :774ワット発電中さん:2011/10/07(金) 18:40:22.64 ID:Mue4MklZ.net

な〜んか、著者の自作自演臭がプンプンするスレだな



120 :774ワット発電中さん:2011/10/08(土) 06:50:31.62 ID:4IPX0RJk.net
消化に大分時間掛かったな、
このスレ見た奴しか買ってないのかw

121 :774ワット発電中さん:2011/10/08(土) 19:45:40.38 ID:A8JIrsC9.net
CQのDE0の本ってなんであんなに高いんだ
5000円の価値なんてあるのか?

122 :774ワット発電中さん:2011/10/08(土) 23:17:51.27 ID:K+Kf+VFo.net
>>121
これがセミナーの教科書だったら5千円程度は妥当だけど。
と言うか、セミナーの参加費用としては安い方だな、5000円。

しかし、本としてはあの内容では5000円は確かに高い。

まあ、17章あたりまでしか読んでいないので最後まで読み終えると評価も変わるかも知れないが。

123 :774ワット発電中さん:2011/10/09(日) 02:27:55.47 ID:6PnP/Ezj.net
目安として、コピー代金と比較して安ければお買い得。

124 :774ワット発電中さん:2011/10/09(日) 10:31:42.32 ID:BxV8Z9/s.net
コピー先が紙媒体でなくHDDなら全て割高になってしまうなw

125 :774ワット発電中さん:2011/10/09(日) 13:13:44.63 ID:Ft53mom5.net
わけがわかんないよ

126 :774ワット発電中さん:2011/10/09(日) 13:52:37.83 ID:6PnP/Ezj.net
>>124
目安だから、本当にコピーしたらいかんぜよw

127 :774ワット発電中さん:2011/10/09(日) 15:21:38.38 ID:Nml7F4UJ.net
ダウンロードすれば金はかからな(ゲホゲホ

128 :774ワット発電中さん:2011/10/09(日) 15:24:27.63 ID:y0PfNGlB.net
今はマスター(紙本)をコピーして端末でいつでもどこでも読めるようにするのが普通だろ
本、音楽、ビデオでこれやっていない奴って低脳じゃないか

129 :774ワット発電中さん:2011/10/09(日) 20:17:59.29 ID:WTtrRRli.net
わざわざ自炊しなくてもCD-ROM版買えばいいじゃないか

130 :774ワット発電中さん:2011/10/09(日) 23:08:02.46 ID:Srs+8318.net
読み終えた。
これを読んで得する人は

・Verilogについてほとんど知らない
・Verilogについてはある程度は知っているがFPGAはいじった事がない

のどちらかに該当する人だなあ。

該当者以外は読んでも暇つぶしや娯楽以上の意味はないと思う。

131 :774ワット発電中さん:2011/10/09(日) 23:18:57.24 ID:rmAd2a8h.net
>>130
自己紹介乙

132 :774ワット発電中さん:2011/10/10(月) 04:17:55.88 ID:YmS4w1Ri.net
ようやくねんがんのuClinuxが動作させられた。
uClinuxのクロスコンパイル環境を整えるのがめんどかったわ。
それにしてもこの本ってLinuxの使い方についてはまったく説明なしなのなww
UNIX系OS使った事のないやつはuClinuxの章を見てもつまづくだろうから、
本書で紹介されてるVMware+ubuntuの組み合わせで
Linuxについて勉強してみるのがおすすめ。

133 :774ワット発電中さん:2011/10/10(月) 10:26:11.18 ID:yeGVsMc3.net
windows系を動かす例を見かけないがなぜなんだろうね?
uClinuxを動かせたらどんなことができるの?

134 :774ワット発電中さん:2011/10/10(月) 15:54:22.65 ID:wHUVGkn9.net
Windowsフォン用のソースが格安で入手できるならやる人居そう、
PC用のOSはNIOS2じゃ厳しいでしょうね。

135 :774ワット発電中さん:2011/10/10(月) 22:51:34.42 ID:5o6vC7CQ.net
>>131
反応早いねw

内容について具体的に言えば、17章までは2進数やらブール代数やらのとても簡単な解説と簡単な回路の作成などが行われ、18章からはそれまでよりも少し手の込んだ回路を作成している。

Verilogほとんど知らないと言う人は18章あたりから苦労するかも。

後、本書の冒頭でも書いてあったかVerilogの文法書ではないので、例えばテストベンチに関しては巻末あたりで簡単に触れているだけ。

NIOS2の実装に触れている章はNIOS未経験者にとって手ほどきになると思う。
でも、その次の章に書かれているNIOS上で動くCプログラムの作成についての記事はサンプルコードを用いたツールの使い方の解説なので、実際のプログラミングしている内容を期待したら失望する。



136 :774ワット発電中さん:2011/10/16(日) 00:44:12.42 ID:z7XwlCxy.net
>>135は何の本について語ってるんだ?
CQのDE0の本?
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編?

137 :774ワット発電中さん:2011/10/17(月) 21:56:55.26 ID:0uL7TqVu.net
>>136
CQのDE0の本。

「FPGAボードで学ぶ組込みシステム開発入門 Altera編」はまだ読んでいない。
もう少ししたら読む予定。

138 :774ワット発電中さん:2011/11/03(木) 15:50:09.51 ID:s4bse4jj.net
DE0基板を使ってのVerilog初心者講習会を12月11日(日)に
やります。Verilogの初心者の方で興味のある方はぜひ
ご参加ください。
http://atnd.org/events/21474

139 :774ワット発電中さん:2011/11/03(木) 18:31:56.29 ID:0cZpK0tl.net
CQのDE本 139ページ StopWatchのところ
リスト18-1 StopWatch.v 下から3行目
assign ss_nreset=btn[1] | ~cout[3];
というのは
assign ss_nreset=btn[1] & ~cout[3];
が正しいと思うが(134ページの説明のように動作するには)、
この1行をCQに連絡するのにあんまり手続きがややこしいので
ここに投げときます。
ダウンロードできるサンプルも間違っているので要注意。
#decorder だの decriment だのスペルミスがやたら多いのはご愛嬌w

140 :774ワット発電中さん:2011/11/03(木) 18:40:34.35 ID:0LsnEWng.net
典型的なドカタの仕事だな
これが普通が今の電子産業だからな

141 :774ワット発電中さん:2011/11/03(木) 18:41:17.25 ID:0cZpK0tl.net
// start/stop FF reset signal
// assign ss_nreset = btn[1] | ~cout[3]; <- wrong
// A. push btn1: btn[1] == L
// B. set carry3: cout[3] == H
// ss_nreset is L-active.
// "not (A or B)" is correct condition.
// assign ss_nreset = ~ ( ~btn[1] | cout[3]);
assign ss_nreset = btn[1] & ~cout[3];

142 :774ワット発電中さん:2011/11/06(日) 15:28:06.88 ID:srf58iFx.net
CQのDE本 151ページからのDigitalWatch
このまま打ち込むと Button2/Button1を押して
時/分を直そうとすると ボタンを押し続けると
1/10秒のスピードでカウントアップされていく
わけだが となりの分/字のほうもつられて1秒
ごとにカウントアップされてしまう
どこを直せばいいか見つけましょう ってのは
ちょうど学生の練習問題に都合がよさそうだけど
たぶんわざとじゃなんだろうなあ

答えはCMのあとで 直す場所は156ページの2行


143 :774ワット発電中さん:2011/11/06(日) 21:26:00.28 ID:1qsp41/0.net
次号を買えってことだよ、恥ずかしい(ry

144 :774ワット発電中さん:2011/11/06(日) 22:26:48.50 ID:srf58iFx.net
// carry in
// 時間調整中はカウントを止める
assign cin[0] = (clkinh == 1'b0)? 1'b1: 1'b0;
// これでは分/時調整をしているときに,時/分が1秒単位で動いてしまう.
// assign cin[1] = (clkinh == 1'b0)? cout[0]: 1'b1;
// assign cin[2] = (clkinh == 1'b0)? cout[1]: 1'b1;
assign cin[1] = (btn[1] == 1'b0)? 1'b1: cout[0];
// 分を調整しているときに時が動かないようにしないといけない
assign cin[2] = (btn[2] == 1'b0)? 1'b1: ((btn[1] == 1'b0)? 1'b0: cout[1]);

145 :774ワット発電中さん:2011/11/18(金) 11:57:23.44 ID:UiMT/axh.net
電波テロ装置の戦争(始)エンジニアさん参加願います公安はサリンオウム信者の子供を40歳まで社会から隔離している
オウム信者が地方で現在も潜伏している
それは新興宗教を配下としている公安の仕事だ
発案で盗聴器を開発したら霊魂が寄って呼ぶ来た
<電波憑依>
スピリチャル全否定なら江原三輪氏、高橋佳子大川隆法氏は、幻聴で強制入院矛盾する日本宗教と精神科
<コードレス盗聴>
2004既に国民20%被害250〜700台数中国工作員3〜7000万円2005ソウルコピー2010ソウルイン医者アカギ絡む<盗聴証拠>
今年5月に日本の警視庁防課は被害者SDカード15分を保持した有る国民に出せ!!<創価幹部>
キタオカ1962年東北生は二十代で2人の女性をレイプ殺害して入信した創価本尊はこれだけで潰せる<<<韓国工作員鸛<<<創価公明党 <テロ装置>>東芝部品)>>ヤクザ<宗教<同和<<公安<<魂複<<官憲>日本終Googl検索

146 :774ワット発電中さん:2011/11/18(金) 18:10:37.47 ID:X2pNbhPv.net
>>140
ごりごりコード書くのがドカタってこと?製造業はどれもドカタ的な辛さはあるだろ。

147 :774ワット発電中さん:2011/11/18(金) 19:14:51.58 ID:fn7qF+r6.net
そこは、ドカタ的な楽しさと

148 :774ワット発電中さん:2011/11/18(金) 20:59:45.78 ID:I4STsHno.net
各段の創意工夫もなく決まったルーティンで時間とお金を交換していくのがドカタ。


149 :774ワット発電中さん:2011/11/18(金) 21:06:31.80 ID:ukmaYZCS.net
ドカタは定時で帰れるんだぜ、いいだろ

150 :774ワット発電中さん:2011/11/20(日) 09:28:46.40 ID:ZR1qSuTw.net
LSI設計もドカタって…IT産業は全部ドカタですか

151 :774ワット発電中さん:2011/11/20(日) 10:19:48.85 ID:bWJt3ums.net
低賃金で労働を強制されてる奴はドカタだね

152 :774ワット発電中さん:2011/11/20(日) 11:02:20.51 ID:wf87o3cH.net
まずは、ドカタの定義から始めようか。

153 :774ワット発電中さん:2011/11/20(日) 11:02:20.89 ID:cEBz2Cqs.net
まずは、ドカタの定義から始めようか。

154 :774ワット発電中さん:2011/11/20(日) 11:03:33.43 ID:10PsxPAA.net
なんか変な現象が起こった

155 :774ワット発電中さん:2011/11/21(月) 22:40:13.49 ID:chr88cHc.net
トリ生みさんがTorilog HDL作るんだー!って息巻いてたよ。頑張ってくれ。

156 :774ワット発電中さん:2011/11/22(火) 22:37:44.15 ID:5DDzp2n6.net
バカじゃねーの

157 :774ワット発電中さん:2011/11/23(水) 03:47:57.95 ID:Bd6pcWA7.net
なんでバカなんだよ。
立派なもんじゃないか。
何もトライしないで、人をバカ呼ばわりする>>156のほうが、よほどバカだと思う。

158 :774ワット発電中さん:2011/11/23(水) 07:38:42.45 ID:LwPXqpoi.net
いまあるHDLはどれもデザインが微妙だからな

東海大の清水先生とかも独自HDL作ってらっしゃるし

159 :774ワット発電中さん:2011/11/23(水) 08:40:17.09 ID:CBT3Wn3d.net
バカじゃねーの

に同意。

160 :774ワット発電中さん:2011/11/23(水) 10:45:52.44 ID:93WoWlCK.net
159 = 156 ですね。

161 :774ワット発電中さん:2011/11/23(水) 10:54:02.87 ID:CBT3Wn3d.net
いや、純粋に同意しただけだけど?
独自HDLなんて暇人やなぁと。

162 :774ワット発電中さん:2011/11/23(水) 12:25:18.92 ID:r1xG08Ws.net
独自HDLっていうけどなぁ、Verilogだって、元は独自だったんだぜ。
PARTHENONみたいに全然使われないのも多いけどな。
システムCだって各社バラバラだし。

個人的には、Verilog をもっと機能縮小したサブセットを作るべきだと思う。
信号強度だけで13種類とかあるからシミュレーションに時間が掛かりすぎ。

163 :774ワット発電中さん:2011/11/23(水) 12:50:13.01 ID:CBT3Wn3d.net
減らした分だけ早くなる確証はあるのか?

164 :774ワット発電中さん:2011/11/23(水) 13:02:19.72 ID:KqT1046s.net

Verilogの信号強度は4種類のはずだが?
VHDLと勘違いしてるんじゃ…

165 :774ワット発電中さん:2011/11/23(水) 13:35:17.90 ID:7OrRFrIf.net
縮小されたセットが明確にされてないこともあって
縮小されたセットを使わされてることに気付いてない164のようなのもいるわけだ。

ほとんど使ってない奴はそもそもサポートしてなかったりで
なくなっても速くはならんと思うが >162


166 :774ワット発電中さん:2011/11/23(水) 14:19:38.83 ID:r1xG08Ws.net
VCSやNCは、全部サポートしてるだろ・・・

遅いんだよ。

167 :774ワット発電中さん:2011/11/23(水) 16:12:26.51 ID:LwPXqpoi.net
速いかどうかはともかく、サポートされている(かもしれない)範囲が曖昧ってのはいいことじゃない。
全部サポートされてないのが当たり前という状況なら、サブセットをきっちり定義すべき。

168 :774ワット発電中さん:2011/11/23(水) 16:25:10.49 ID:oJvvsBPB.net
>>164
> VHDLと勘違いしてるんじゃ…
std_logicの事言っているんだろうが、それはVHDLそのものではない。
単なるライブラリ。

169 :774ワット発電中さん:2011/11/28(月) 10:48:24.78 ID:mzcRjwqW.net
>>166
信号強度が多種あるとシミュレーションが遅くなる根拠を説明してくれ。

170 :774ワット発電中さん:2011/11/30(水) 00:07:50.03 ID:/2/BQivO.net
普通に考えて、
1信号が占めるメモリ量(or ファイル容量)が
増えるのでシミュレーション遅くなるよね。

171 :774ワット発電中さん:2011/11/30(水) 06:21:37.46 ID:3JPAqlgY.net
演算パターンも増えるしね。

172 :774ワット発電中さん:2011/11/30(水) 13:40:36.16 ID:/4Vx0Nai.net
>>171
それがアホの発想なんじゃね

173 :774ワット発電中さん:2011/12/03(土) 00:50:09.48 ID:b5JoWEV+.net
>>172
画面に表示するパターンも増えるしね。

174 :774ワット発電中さん:2011/12/03(土) 09:07:31.29 ID:RFT3Jl7h.net
>>169
テストベンチを記述する手間も増えるしね。

175 :774ワット発電中さん:2011/12/03(土) 12:29:00.34 ID:GorMBOC3.net
>>173
画面表示は、HLZXしかないような・・・

176 :774ワット発電中さん:2012/01/08(日) 12:20:36.07 ID:checkIeX.net
あけましておめでとう。

今年もDE0でがんばろう!!


177 :774ワット発電中さん:2012/01/12(木) 15:54:25.04 ID:mD+PdACj.net
久々にいじったらボタン接触不良じゃねーか。
錆びたのかな。

178 :774ワット発電中さん:2012/01/13(金) 00:43:18.00 ID:8cV0zYLo.net
ハンダ付けのリハビリも兼ねて自分で修理。

179 :177:2012/01/13(金) 09:08:24.05 ID:GIe8hTW3.net
面実装品の取り外しは勘弁してくれ

180 :774ワット発電中さん:2012/01/15(日) 08:56:47.06 ID:6NbbCC8J.net
>>177
窓の近くに置いてなかったか?
この時期、電子機器は窓から遠ざけること。
特にボードとか剥き出しで、結露の影響受けやすいかもな。

181 :177:2012/01/16(月) 13:02:29.66 ID:ElSbWhry.net
いや、別に結露するような保存はしてなかったけどなぁ
スイッチが安物なんじゃないかと思ってるw

182 :774ワット発電中さん:2012/01/16(月) 20:17:44.48 ID:CO0e/bgy.net
タクトスイッチはすぐ壊れるイメージ

183 :774ワット発電中さん:2012/01/17(火) 06:43:00.88 ID:ybmLqQhP.net
毎日使うPCのマウスぐらいの頻度で、年単位で数えりゃ、そりゃすぐだが。

184 :774ワット発電中さん:2012/01/20(金) 00:14:42.98 ID:5h/59flr.net
DE0-nanoでPWMでナイトライダーやりました、就職できますか?

185 :774ワット発電中さん:2012/01/20(金) 12:50:29.87 ID:MjRwQVPC.net
デバイスの99%使ってりゃ採用されるんじゃないかな

186 :774ワット発電中さん:2012/01/22(日) 16:33:27.16 ID:w6ws7Bgp.net
テラシックから、クリスマスに引き続き今度は謹賀新年のメールが来てびっくりした!
なんで今頃?と思ったら旧正月なのかぁ(今年は1/23らしい)
ていうか英語で Chinese New Year って書くと初めて知ったw
勉強になりました、ありがとう Terasic の中の人


187 :774ワット発電中さん:2012/01/23(月) 01:07:49.37 ID:5mSdDnH/.net
PCM方式で16bit サンプリング周波数48kHzと同等の情報量を持たせるために
PWM方式で必要なパルス周波数とタイマー精度ってどーなるの?

SACD発売当初、CDをディジタルでPWM変換するんじゃなくCDのアナログ出力を
DSDレコーディングしてSACDとして販売してるのがあったが、これだと明らかに
情報量がCDよりスポイルされてるはずなので、がっかりした記憶がある。

188 :774ワット発電中さん:2012/01/23(月) 01:18:20.92 ID:DOmkXrZS.net
オーオタきもっwww

189 :774ワット発電中さん:2012/01/23(月) 07:19:30.88 ID:93jD9DiQ.net
理論的なひとつの指標としてはビットレートじゃね?

190 :774ワット発電中さん:2012/01/23(月) 08:55:44.63 ID:5mSdDnH/.net
DSDってPWM作るときの時間間隔は単にサンプリング周波数単位なん?
別にタイマ回してるわけじゃないのか・・・

ビットレートなら、PCMは16bitx44.1kHzなら706kbpsなんでこの時点で
方式の違いっていうより2.8Mbpsの現行SACD仕様の情報量が多くて当然てことだよな。
PCM 24bit 192kHzなら4.6Mbpsだから、このレベルならどーよってことだけど、
PCMのサンプリング周波数ってのは、実装上上げれば上げるほどノイズフロアも上昇するので、
単純に品質向上するわけじゃないんだけどDSDも同じ問題があると思うんだが?

191 :774ワット発電中さん:2012/01/24(火) 13:41:40.38 ID:cLN5vB5c.net
そもそもDSDはPWMじゃなくPDMじゃね?

192 :774ワット発電中さん:2012/01/25(水) 13:46:12.57 ID:FOVxjR+w.net
wikiの正確さの問題はあるが、
この波形はとうみてもPWMなんだが。

http://en.wikipedia.org/wiki/File:PCM-vs-DSD.svg

193 :774ワット発電中さん:2012/01/25(水) 13:50:10.90 ID:FOVxjR+w.net
ああわかった。
>>191おまえしょーもないちゃちゃ入れるなや。
密度でも、ibitのパルス時間幅単位のwidthでもいっしょだろが。

194 :774ワット発電中さん:2012/01/25(水) 15:44:52.27 ID:XqmZA5za.net
>>192
PWMとPDMがそんな図で見分けつくわけなかろう

195 :774ワット発電中さん:2012/01/26(木) 09:57:59.67 ID:s5ZiHMrL.net
ハァ?
bit 数がwidthになってるんだから。密度と言おうが、幅と言おうが同じだろうが。アホかい。

196 :774ワット発電中さん:2012/01/26(木) 21:36:28.09 ID:LOIzzkHX.net
PWMじゃなくてΔΣDACなんじゃないの?

197 :774ワット発電中さん:2012/01/26(木) 23:13:05.07 ID:JRcdqQUu.net
>bit 数がwidthになってるんだから。

誤差拡散とか分からないのかねぇwww

198 :774ワット発電中さん:2012/01/31(火) 11:11:18.43 ID:yVldzJRR.net
幅が狭い=密度が高い


 と思っているミトコンドリアが約一匹

199 :774ワット発電中さん:2012/01/31(火) 14:25:55.18 ID:64IcqavE.net
>>198
全く逆だろその解釈。フィルタは積分器だろが。
つまり、単位時間の積分結果が電圧値と比例関係になるためには

1の長さ = 密度

でなければならない
出現頻度が言葉通りの密度と思ってるアホがお前

200 :774ワット発電中さん:2012/02/04(土) 09:49:49.30 ID:AMw00qGQ.net
>>199
それがPDMなんじゃね?

201 :万時:2012/03/22(木) 16:47:25.71 ID:gvT3BKrf.net
FPGAボードで学ぶ組込みシステム開発入門 〜Altera編

これ購入してDE0でサンプル試してるんだけど、NIOS 2 EDSから
Debugとすると、Downloading ELF Process failed ってメッセージが出てきて
なにも実行できん・・・。

202 :774ワット発電中さん:2012/03/22(木) 17:32:02.97 ID:LIsMxoaK.net
そうですか
CQ出版と著者にこらーっって連絡した?

203 :万時:2012/03/22(木) 23:17:51.22 ID:7x2rzv8O.net
SW[9]の状態で、この現象が発生するみたい。
SW[9]の状態を切り替えると、この現象が発生しなくなった。


204 :774ワット発電中さん:2012/03/27(火) 22:41:04.14 ID:7fF8aHdk.net
>>203
Quatusでの作業のPin配置指定が間違ってるんじゃないの?
SW[9]に接続されてるポートがリセットになってるとか、
CLKになってるとか、
そんなミスじゃね?


205 :774ワット発電中さん:2012/03/28(水) 00:29:15.57 ID:zO1qI7rN.net
>>201
DE0専用の書籍のほうがいいかも。
5000円もするけどこれなんかどうかな。見たときないから知らんけど。
http://shop.cqpub.co.jp/hanbai/books/31/31371.html

ただで済ませるならこの辺とか。
http://blog.goo.ne.jp/sim00/e/8e1fc436d1e29a6decc20f8256adb914
http://www.geocities.jp/jy6jf3hg5t/nios/index.html

206 :774ワット発電中さん:2012/03/28(水) 14:51:57.10 ID:YJbxmHQQ.net
>>201
その本の77ページをよく見てみよう

207 :万時:2012/04/03(火) 23:15:42.04 ID:8WoHMUgr.net
>>204
>>205
>>206
ありがとうございます。
”SW[9]はリセットです”とかかれてありました。見逃してました。

208 :774ワット発電中さん:2012/06/06(水) 03:05:25.48 ID:AToY8XPP.net
あげときます

209 :774ワット発電中さん:2012/07/04(水) 02:05:49.82 ID:1pOox2CP.net
もうすぐ1年だね。

210 :774ワット発電中さん:2012/07/28(土) 00:56:37.72 ID:AAJPGaX4.net
1年過ぎたね。


211 :774ワット発電中さん:2012/08/31(金) 03:08:07.12 ID:Gp4eY/UM.net
アルテラのFPGAって、LVDSができるピンが限定されているんですか?
Xilinxは、どのピンでもLVDSできるのですが。

212 :774ワット発電中さん:2012/09/05(水) 00:51:48.84 ID:dccZIWQI.net
>211
どちらも使用できるピン(ペア)は決まっているお。
基板内だったら直接接続する事はあるけど、外部と接続するなら普通はバッファICを使うのが吉。

213 :774ワット発電中さん:2012/09/05(水) 23:14:08.32 ID:8ZQWlkZI.net
Cyclone3はTOPとBOTTOMは外部に
抵抗つけないとLVDSとして使えなかったはず。

でも、FPGA直結だとESD耐性ないんだもんな。
IOピン壊れたら基板使い物にならなくなる。

214 :774ワット発電中さん:2012/09/08(土) 03:04:18.43 ID:Xdg3B9La.net
Xilinx使いだけど、
最初にALTERAのFPGAを見たとき、
LVDSができないピンがあるのに驚いた。

>>212
>どちらも使用できるピン(ペア)は決まっているお。
それはそうでしょう。もともとパターンは併走してくるんだから。


>決まっているお。
〜お、って言う言い方やめた方がいいよ。
バカに見えるから。

215 :774ワット発電中さん:2012/09/08(土) 03:22:15.97 ID:HLo4It+g.net
> それはそうでしょう。もともとパターンは併走してくるんだから。

それはそう(キリッ)と言えるほど、罪の中の人も常識的な構成をしないからな…。
1,2,3,4 というピン並びで 1と3, 2と4がLVDSのペアってどうなのよ。


216 :774ワット発電中さん:2012/09/08(土) 14:05:41.31 ID:2JiZeCkd.net
Alteraのピンアサインはダイでは隣同士なのに
ピンは離れているペアがあって、差動信号をアサインし難いんだよね。

217 :774ワット発電中さん:2012/09/12(水) 20:07:32.00 ID:PQcFLMDJ.net
ここはDE0のスレですか?

218 :774ワット発電中さん:2012/09/18(火) 21:18:22.31 ID:w5jSoxMB.net
DE0買って放置してて
今日から本気だそうかと思ったら
アルテラのダウンロードページ入れないじゃん
やる気なくなったからまたしばらく放置だわ

219 :774ワット発電中さん:2012/11/19(月) 01:34:39.29 ID:r8lWEgIh.net
旧Ver でよければ付属のCDがあるじゃん。

220 :774ワット発電中さん:2013/01/02(水) 22:45:14.91 ID:8w179EtR.net
DE0とソリトンの拡張キット普通に買うのと、
ヤフオクなりでDE2買うのどっちがいいかね

221 :774ワット発電中さん:2013/02/11(月) 19:51:39.93 ID:pMbgBbb2.net
Alteraって、LVDSの終端抵抗を内蔵してて、
ツール設定で有効/向こうできるFPGAってありますか?

222 :774ワット発電中さん:2013/04/19(金) 21:55:58.03 ID:Ybxc1hQj.net
DE0 が 昨日届いた。
プロセッサ作ってみようと思ってる。
GWから着手しようかな。

223 :774ワット発電中さん:2013/08/23(金) NY:AN:NY.AN ID:M7E3cQHO.net
http://rfi.a.la9.jp/hn203/kb/kb-main3.html
 
 http://homepage2.nifty.com/e-d-a/hn203/ansec/animal.html

224 :774ワット発電中さん:2014/04/12(土) 12:09:42.70 ID:DpIH6dUa.net
↓な私ですが、Nios II のマイコンを動かすのは、難しいでしょうか?
・Xilinx ISE、Spartan6なら何度か使ってHDLも書いて、動かしています。
・Quartus、Cyclone、など、ALTERA関係は、一切使ったことありません。
・ALTERA FPGAの用語も、ほとんどわかりません。

225 :774ワット発電中さん:2014/04/12(土) 13:21:21.41 ID:NwTE6sJw.net
難しいと思うよ

226 :774ワット発電中さん:2014/04/12(土) 13:32:37.64 ID:n5McVeNp.net
FPGAボードで学ぶ組込みシステム開発入門 Altera編
読んでみそ 使用ツールが古くなりかけてるけど操作手順まで書かれている

227 :774ワット発電中さん:2014/05/24(土) 09:59:10.52 ID:SGakbjPG.net
>>227

227 みたいなWeb見るくらいなら、 とりあえず本を手配して斜め読みしたほうが良いよ。
電子書籍とかもあるので、とりあえず買っちゃってから、中身を見る。

ただ、ツールの使い勝手みたいなのは試してみないと分からないし、
ハマると大量に時間がかかる。

で、そういう情報は、Webとか2chから情報集める。

228 :774ワット発電中さん:2014/05/27(火) 00:41:03.34 ID:LZynj0Uu.net
>で、そういう情報は、Webとか2chから情報集める。
仕事の疑問を聞いてもいいですか?

229 :774ワット発電中さん:2014/06/08(日) 12:15:15.59 ID:fk5egLhp.net
>>228
> 仕事の疑問を聞いてもいいですか?

機密保持とかを破らない範囲で、聞くのはOK!

日本の大手企業は公知の技術でさえ、機密保持にしたがるけど。

230 :774ワット発電中さん:2014/12/30(火) 00:55:03.07 ID:zaKEOy21.net
     ...| ̄ ̄ |
   /:::|  ___|
  /::::_|___|_
  ||:::::::( ・∀・)    DE0 CV はまだかね?
  ||::/ <ヽ∞/>\   
  ||::|   <ヽ/>.- |  
_..||::|   o  o ...|_ξ
\  \__(久)__/_\
.||.i\        、__ノフ \
.||ヽ .i\ _ __ ____ __ _.\
.|| ゙ヽ i    ハ i ハ i ハ i ハ |
.||   ゙|i〜^~^〜^~^〜^~^〜|i~

231 :774ワット発電中さん:2015/03/08(日) 22:25:56.71 ID:5fbRUA8X.net
ふと店頭にあるde0をみたら21000円になってた。
円安やばいな。

232 :774ワット発電中さん:2015/03/10(火) 10:14:14.39 ID:CeXGmDN4.net
Digi-Keyなら消費税込みで17340円
http://www.digikey.jp/product-detail/ja/P0037/P0037-ND/2138840

233 :774ワット発電中さん:2015/03/21(土) 19:53:42.41 ID:kHPczKv0.net
DE0 CV、150ドルかあ。

234 :774ワット発電中さん:2015/05/03(日) 21:02:41.63 ID:bFYHpDp5.net
>>232
今だと2万ちょい

というか、まったく、円安で海外製品は高いわ、給料は変わんないのに
消費税増税も手伝って国内物価も高くなるわで何だかなぁ

235 :774ワット発電中さん:2015/06/07(日) 09:58:31.78 ID:TZvjq0vF.net
DE0をかなり前に購入していたのだが、
なにかと休日が忙しく(○活とかねw)
昨日ようやく13.1をPCにインストールした。

自分としてはRolandのGAIAのようなシンセを作りたい。
似たようなことをしている人が、ネットで何人か見つかったし
CQ出版の書籍にも記載があったので半年ぐらいの計画で
進めてみるよ。

方形波、鋸波、サイン波は容易いけど、SuperSAWは
どうやって実装しようか悩み中。

236 :774ワット発電中さん:2015/06/07(日) 10:23:11.68 ID:p3e4uXDL.net
婚活はブログでやれ

237 :774ワット発電中さん:2015/06/07(日) 10:24:07.11 ID:LEsSmMI2.net
アルテラがインテルに買収されるとかまじでないわぁ

238 :774ワット発電中さん:2015/06/07(日) 12:14:45.39 ID:85UkUcz+.net
久しぶりにDE0使おうかな、最近マイコンばかり使ってる
でもトラ技のCPLDも5枚あるし

239 :774ワット発電中さん:2015/09/19(土) 14:59:42.69 ID:B4UGh0Z5.net
>>235
完成しそうですか?

240 :arisa ◆QaHT6HayjI :2017/01/06(金) 10:46:18.06 ID:Zw4ZvP9c.net
かったるいので、派遣先で買わせて遊んでいる

241 :774ワット発電中さん:2017/12/27(水) 14:42:10.51 ID:22mySsTl.net
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

ANQTM6C0LN

242 :774ワット発電中さん:2018/04/19(木) 01:05:39.95 ID:Ct4r/mon.net
武藤のムロイエウレすごかったわ

243 :774ワット発電中さん:2018/05/21(月) 11:00:30.86 ID:+YPLsCwK.net
ユニークで個性的な確実稼げるガイダンス
暇な人は見てみるといいかもしれません
グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』

E94CA

244 :774ワット発電中さん:2018/07/03(火) 23:16:11.94 ID:TUeFXinq.net
7L9

245 :774ワット発電中さん:2020/08/12(水) 15:11:20.62 ID:j62oODNz.net
猛暑

246 :774ワット発電中さん:2022/10/17(月) 10:49:48.47 ID:iYZZMUNE.net
DE0CVで「CPUの創りかた」の
4bitCPU創ってラーメンタイマーが
動きました
ちょっと感動

さてこれからどう拡張したらよいものか
8bitにして命令増やして
アセンブラをガリガリ書くのかな

先人は32bitRISCCPUを創った方も
居るようだが

247 :774ワット発電中さん:2022/10/18(火) 17:36:03.43 ID:ixIV7Rae.net
とりあえずPGとレジスタを8bitにして
減算命令(opcodeは4bit)を追加してみた
ラーメンタイマーがオペランド
4行で書けるようになった
次はどうしようかなー
円周率でも計算させてみたいが
プログラムが大変そうだ
verilogの復習が主眼でプログラミングはまあおまけなので
テストベンチを洗練させるかな

248 :774ワット発電中さん:2024/05/12(日) 10:03:19.77 ID:iHhlknpP.net
暑くてなんだな
しかし追放される形で維持して部活と称して座談会やったりさ

249 :774ワット発電中さん:2024/05/12(日) 10:35:53.79 ID:Y+rbTTiW.net
証拠出んのはなかったな

250 :774ワット発電中さん:2024/05/12(日) 10:57:55.81 ID:zFCCamTS.net
そんな甘いわけないやろ!
みたいに俺が調子に乗る俺
しかし今日の午後追加の不満解消にも

251 :774ワット発電中さん:2024/05/12(日) 11:01:55.97 ID:LIxPC4u8.net
30万本クラスの印象悪いのが面倒くさくなってきて

252 :774ワット発電中さん:2024/05/12(日) 11:16:14.98 ID:38aPSHyE.net
付け焼き刃的に持っていき人気を継続してしまって

253 :774ワット発電中さん:2024/05/12(日) 11:30:15.25 ID:Lr2VGMSv.net
>>211
しかし
ユーチューブもやってるよ!

254 :774ワット発電中さん:2024/05/12(日) 11:43:30.33 ID:lOXTm5ht.net
>>91
何やって人気伴ってない動画はおもろかったんやけどなあ
ツラい日ですな…(;´д`)

255 :774ワット発電中さん:2024/05/12(日) 11:46:55.62 ID:yBptyv4c.net
>>175
3カ月は効果でるまでかかるみたいで、何もしてないな
咎人は良かったのかな

61 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver.24052200