2ちゃんねる スマホ用 ■掲示板に戻る■ 全部 1- 最新50    

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #21

1 :774ワット発電中さん:2014/12/07(日) 12:39:26.71 ID:k8Neg6MK.net
FPGA、CPLDのスレです。

雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。

FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。

その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。

さあ君も レッツ F・P・G・A !!

Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/

■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://wc2014.2ch.net/test/read.cgi/denki/1387718215/

881 :774ワット発電中さん:2015/09/28(月) 16:36:11.33 ID:T3rFg2FL.net
>>880
>昔できたという人
>リブレット20
そんな人は漏れなく老眼だから無理だろw

882 :774ワット発電中さん:2015/09/29(火) 22:46:16.47 ID:8x8BvhIu.net
Xilinx Spartan6 で、毎回ISEに「clock dedicated...」と言われるのですが、
それを出ないように、各辺のGCLKにClockを入れるというのは、邪道でしょうか?

883 :774ワット発電中さん:2015/09/29(火) 23:50:17.79 ID:R5uPT6bW.net
そのメッセージが出るのはそもそも使い方が間違ってる
普通の信号をクロックとして使ってるのだろう
クロックは専用ピンから入力してグローバルクロック配線を使わねばならん
UG382を読むべし

884 :774ワット発電中さん:2015/09/30(水) 01:39:18.79 ID:G/uXzjwr.net
>>878
そんなんブロアかヒートガンあてれば一発に流し取れるがな
なーんの自慢にもならん腕自慢か?

885 :774ワット発電中さん:2015/09/30(水) 02:30:37.95 ID:2TAlj9+Z.net
>>883
ありがとうございます。
クロックは、GCLKから入れていますが、
DCMを使うと、途端に「Clock Dedicate」が出てしまいます。

886 :774ワット発電中さん:2015/09/30(水) 06:24:28.36 ID:h3vh7D31.net
>>884
ヒートガンなんて使わないと出来ないのか?腕が悪いな。
それに、取るだけじゃなくて付けなきゃいけないから、例えヒートガンがあってもお前には無理だなw

887 :774ワット発電中さん:2015/09/30(水) 08:02:19.91 ID:dlBsQkY1.net
>>879
マスキングしておいて、工業用ドライヤーでおk

888 :774ワット発電中さん:2015/09/30(水) 08:04:12.97 ID:dlBsQkY1.net
>>886
十数秒で取れるのに、使わない手はない。楽チン

889 :774ワット発電中さん:2015/09/30(水) 08:30:23.27 ID:ioXyZ0m4.net
>>885
GCLKちゃんと使ってるのかゴメン
DCMは自分でインスタンシエートしてるの?
Clocking Wizard使えばおかしなことにはならないけど

890 :774ワット発電中さん:2015/10/01(木) 08:08:52.46 ID:ia0iTgFw.net
このパラレルのJTAGケーブルと
http://www.aitendo.com/product/2557

パラレルUSBでCPLDやFPGAに書き込めたりしますか?
出きるのならおすすめのパラレルUSBケーブルを教えてください

232 KB
新着レスの表示

掲示板に戻る 全部 前100 次100 最新50
名前: E-mail (省略可) :

read.cgi ver 2014.07.20.01.SC 2014/07/20 D ★